删除或更新信息,请邮件至freekaoyan#163.com(#换成@)

清华大学微电子所导师教师师资介绍简介-王志华

本站小编 Free考研考试/2020-04-16


王志华 博士
2018年12月31日
清华大学微电子与纳电子学系教授
IEEE Fellow(会士)
电话: +86 , 传真: +86
手机: +86 – **;
电子邮件:zhihua@tsinghua.edu.cn
主页:http://www.thu-icas.org/
教育背景
1983, 清华大学无线电技术专业本科毕业,获工学学士学位
1985, 清华大学通信与信息系统专业研究生毕业,获硕士学位
1990, 清华大学微电子与固态电子学专业,获工学博士学位
工作经历
1997-今, 清华大学(电子工程系、微电与纳电子学系)教授
2014.9-2015.3, 香港科技大学访问教授
1994-1997, 清华大学电子工程系副教授
1993-1994, 比利时鲁汶天主大学( K.U. Leuven)访问研究员
1992-1993, 美国卡内基梅隆大学(Carnegie Mellon University)访问
1988-1992, 清华大学电子工程系(原无线电电子学系)讲师
1983-1988, 清华大学电子工程系(原无线电电子学系)助教
研究兴趣及学术成就
RF CMOS 电路设计。包含RFID, RF电路单元设计、基于PLL的时钟综合、低功耗无线收发器电路等等。
用于生物医疗的电路与系统。包含新型电路设计、数字信号处理,用于疾病诊断的植入式智能医疗及生物器件研究
? 主持完成过15项以上国家项目(含自然科学基金、863、重大科技专项等)
? 出版了12部学术专著及教材(其中7部英文专著)
? 在集成电路领域国际顶级学术期刊 IEEE Journal of Solid-state Circuit(JSSC)发表19篇学术论文
? 在电路与系统领域国际顶级学术期刊IEEE Transactions on Circuits and Systems I and II (TCAS I & II) 发表33篇学术论文
? 在生物医疗电路与系统领域国际顶级学术期刊IEEE Transactions on Biomedical Circuits and Systems(TBioCAS)发表论文12篇
? 在其他IEEE Transactions上发表论文25篇
? 除上述重要学术刊物之外其他发表论文并被SCI收录108篇
? 在其他未被SCI收录的学术期刊发表论文247篇
? 在集成电路领域最顶级学术会议 International Conference of Solid-state Circuit (ISSCC)发表论文6篇
? 电路与系统领域国际顶级学术会议 IEEE International Symposium on Circuits and Systems (ISCAS)发表学术会议92篇
? 集成电路领域重要学术会议Custom Integrated Circuits Conference (CICC)发表论文20篇
? 集成电路领域国际重要学术会议 Asian Solid-State Circuits Conference (A-SSCC) 发表论文38篇
? 集成电路领域国际重要学术会议Radio Frequency Integrated Cir. Symp. (RFIC)发表论文17篇
? 其他国际会议发表论文341篇
? 中国会议发表论文29篇
? 持有118项中国发明专利,9项美国发明专利
教学经历
高等模拟集成电路分析与设计
2005, 2006, 2013
清华大学新生研讨课《从晶体管的发明到信息时代》
2005~2016 (每年)
《数字集成电路设计原理》
2012
《微电子学设计新进展》
2004~2011 (每年)
《结构化集成电路设计》
1997-2006 (每年)
《高等模拟电路》
1998-2003 (每年)
《通信电路分析与设计》
2001, 2002
获奖
2018
中国电子学会2018年技术发明一等奖(高可靠低功耗的个人安全芯片设计技术)
2018
浙江省科技进步二等奖(低功耗芯片设计技术在智能量测系统中的应用)
2017
中国电子学会2017年技术发明二等奖(以低功耗无线芯片为核心的多层次异构集成微型医疗电子技术)
2014
国家教学成果二等奖(面向国家急需,建设我国集成电路人才培养体系)
2005
北京市科技进步一等奖(第二代身份证用芯片设计)
2004
北京市教学成果一等奖(MOS集成电路设计与实践)
1991, 2001
清华大学青年教师教学优秀奖
1999
教育部科技进步三等奖(电子线路的计算机辅助分析与设计教材)
1997
清华大学学术新人奖
1997
北京市优秀教师
1992
国家科技进步一等奖(ICCAD熊猫系统攻关)
1992
国家科技进步三等奖(彩电CAD系统与Mu两片机电路优化设计)
1991
国家教委科技进步三等奖(电阻传感式测量专用集成电路)
1990
机械电子部科技进步一等奖(彩电CAD系统与Mu两片机电路优化设计)
1988
北京市科技进步二等奖(电路仿真软件TADS-C2的研发)
1988
电子部科技进步奖(电路仿真软件TADS-C2的研发)
社会服务
2000-2016
清华大学微电子学研究所副所长(微电子与纳电子学系副系主任)
2015-2020
清华大学第10届学术委员会委员,2015年起任期五年;
2011-2014
清华大学第4届教授提名委员会委员
2011-2017
清华大学第10、11届学位评定委员会“电子与通信工程”分委员会副主席(2011年12月起任期三年,2014年12月起任期三年)
2011-2014
中国证券监督管理委员会第三、四、五届创业板发行审核委员会委员
2007.1-2011.12
“国家高技术研究发展计划(863计划)”信息技术领域专家组专家
2013-2018
核心电子器件、高端通用芯片和基础软件产品重大专项咨询专家组专家
2006~2010
“教育部高等学校电子信息与电气学科教学指导委员会”委员
2013~2017
“教育部高等学校电子信息类专业教学指导委员会”委员
1999-Now
中国半导体行业协会集成电路设计分会副秘书长
2002- Now
中国通信学会通信集成电路专业委员会副主任委员
2001- Now
北京半导体行业协会副会长
2013-Now
中国医药生物技术协会计算机辅助外科技术分会委员
2016-2018
IEEE固态电路学会执行委员会委员 (AdCom Member of the IEEE SSCS)
2016-Now
副编辑, IEEE Trans. on Circuits and Systems-I
1999-2009
IEEE固态电路学会北京分会主席(IEEE SSCS Beijing Chapter)
2008-2015
副编辑, IEEE Trans. on Biomedical Circuits and Systems
2010-2013
副编辑, IEEE Trans. on Circuits and Systems-II
2005-2011
TPC成员, IEEE International Solid State Circuit Conference (ISSCC)
2004-Now
国际协调委员会成员IEEE Asian Solid-State Circuits Conference(A-SSCC)
2013
TPC 主席, IEEE Asian Solid-State Circuits Conference(ASSCC)
2007~09, 2011
TPC 成员, IEEE GREAT LAKES SYMPOSIUM ON VLSI (GLSVLSI)
2008
TPC 成员, IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)
2007
TPC 成员, IEEE Int'l Workshop on Radio-Frequency Integration Technology
Dec 2006, Dec 2009, Nov 2014
客座编辑Guest Editor, Journal of Solid-State Circuits,
2009, 2015
大会共同主席, IEEE Biomedical Circuits and Systems Conference (BioCAS)
2011
大会主席, IEEE Int'l Workshop on Radio-Frequency Integration Technology
2006 and 2010
大会组织委员会主席, IEEE Asian Solid-State Circuits Conference,
出版专著及教材 (5本中文,7本英文)
1. Weitao Li, Fule Li, Zhihua Wang, High-Resolution and High-Speed Integrated CMOS AD Converters for Low-Power Applications, (ISBN: 978-3-319-62011-4), Springer Press, 2017
2. Zhihua Wang, Hanjun Jiang, Hong Chen, CMOS IC Design for Wireless Medical and Health Care, (ISBN: 978-1-4614-9502-4), Springer Press, 2013
3. Nianxiong Nick Tan, Dongmei Li, Zhihua Wang (Editors), Ultra-Low Power Integrated Circuit Design - Circuits, Systems, and Applications, (ISBN 978-1-4419-9972-6), Springer Press, 2014
4. Tianjia Sun, Xiang Xie, Zhihua Wang, Wireless Power Transfer for Medical Microsystems (ISBN: 978-1-4614-7701-3), Springer Press, 2013
5. Zhihua Wang, Xiang Xie, Xinkai Chen, Xiaowen Li, Design Consideration of Low Power Digital Integrated Systems, Chapter 4 of “CMOS Biomicrosystems, Where electronics meet biology”, (Edited by Krzysztof Iniewski), A Jone Willey & Sons, Inc, Publication, 2011
6. Woogeun Rhee, Zhihua Wang, Sigma-Delta Fractional-N Phase-looked Loop, Chapter 12 of
“CMOS Nanoelectronics, Analog and RF VLSI circuits”, (Edited by Krzysztof Iniewski), The McGraw-Hill Companies, Inc., 2011
7. Ni Xu, Wooogeum Rhee, Zhihua WANG, Hybrid Phase Modulators with Enhanced Linearity, Chapter 17 of “Wireless Transceriver Circuits – System Perspective and Design Aspects” (Edited by Wooogeum Rhee), CRC Press,Taylor & Francis Group, 2015
8. 谢翔、王自强、姜汉钧、王志华,《胶囊内窥镜系统——原理与临床应用》,科学出版社,2010年4月
9. 董在望、李冬梅、王志华、李永明,《高等模拟集成电路》,清华大学出版社,2006年2月
10. 王志华、邓仰东,《结构化集成电路设计》,清华大学出版社,2000年7月
11. 周祖成、王志华,《中国集成电路大全——专用集成电路和集成系统自动化设计方法》,国防工业出版社,1997年9月
12. 汪蕙、王志华,《电子电路的计算机辅助分析与设计方法》,清华大学出版社,1996年6月
SCI收录期刊论文 – (197)
集成电路领域国际顶级学术期刊IEEE Journal of Solid-State Circuits (JSSC) – (19)
1. Yining Zhang, Meng Ni, Xiaohua Huang, Woogeun Rhee, Zhihua Wang, A 3.7-mW 2.4-GHz Phase-Tracking GFSK Receiver With BBPLL-Based Demodulation, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Early Access Articles, pp. 1-10, NOV 2018
2. Jianfu Lin, Zheng Song, Nan Qi, Woogeun Rhee, Zhihua Wang, Baoyong Chi, A 77-GHz Mixed-Mode FMCW Signal Generator Based on Bang-Bang Phase Detector, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol.53(10), pp. 2850-2863, OCT 2018
3. Yining Zhang, Ranran Zhou, Woogeun Rhee, Zhihua Wang, A 1.9-mW 750-kb/s2.4-GHz F-OOK Transmitter With Symmetric FM Template and High-Point Modulation PLL, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol.52(10), pp. 2627-2635, OCT 2017
4. Dang Liu, Xuwen Ni, Ranran Zhou, Woogeun Rhee, Zhihua Wang, A 0.42-mW 1-Mb/s 3-to4-GHz Transceiver in 0.18-mu m CMOS With Flexible Efficiency, Bandwidth, and Distance Control for IoT Applications, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol.52(6), pp. 1479-1494, JUN 2017
5. Xuqiang Zheng, Chun Zhang, Fangxu Lv, Feng Zhao, Shuai Yuan, Shigang Yue, Ziqiang Wang, Fule Li, Zhihua Wang, Hanjun Jiang, A 40-Gb/s Quarter-Rate SerDes Transmitter and Receiver Chipset in 65-nm CMOS, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol.52(11), pp. 2963-2978, NOV 2017
6. Haikun Jia, Lixue Kuang, Wei Zhu, Zhiping Wang, Feng Ma, Zhihua Wang, Baoyong Chi, A 77 GHz Frequency Doubling Two-Path Phased-Array FMCW Transceiver for Automotive Radar, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol.51(10), pp. 2299-2311, OCT 2016
7. Xiaobao Yu, Meng Wei, Yun Yin, Ying Song, Siyang Han, Qiongbing Liu, Zongming Jin, Xiliang Liu, Zhihua Wang, Yichuang Sun, Baoyong Chi, A Fully-Integrated Reconfigurable Dual-Band Transceiver for Short Range Wireless Communications in 180 nm CMOS, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol. 50 (11), pp. 2572-2590, NOV 2015
8. Shuli Geng, Dang Liu, Yanfeng Li, Huiying Zhuo, Woogeun Rhee, Zhihua Wang, A 13.3 mW 500 Mb/s IR-UWB Transceiver With Link Margin Enhancement Technique for Meter-
Range Communications, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol. 50(3), pp. 669-678, MAR 2015
9. Zhihua Wang, Introduction to the Special Section on the 2013 IEEE Asian Solid-State Circuits Conference (A-SSCC), IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol.49(11), pp. 2375-2376, NOV 2014
10. Ni Xu, Woogeun Rhee, Zhihua Wang, A Hybrid Loop Two-Point Modulator Without DCO Nonlinearity Calibration by Utilizing 1 Bit High-Pass Modulation, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol. 49(10), pp. 2172-2186, OCT 2014
11. Cong Shi, Jie Yang, Ye Han, Zhongxiang Cao, Qi Qin, Liyuan Liu, Nan-Jian Wu, Zhihua Wang, A 1000 fps Vision Chip Based on a Dynamically Reconfigurable Hybrid Architecture Comprising a PE Array Processor and Self-Organizing Map Neural Network, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol 49(9), pp. 2067-2082, SEP 2014
12. Lingwei Zhang, Hanjun Jiang, Jianjun Wei, Jingjing Dong, Fule Li, Weitao Li, Jia Gao, Jianwei Cui, Baoyong Chi, Chun Zhang, Zhihua Wang, A Reconfigurable Sliding-IF Transceiver for 400 MHz/2.4 GHz IEEE 802.15.6/ZigBee WBAN Hubs With Only 21% Tuning Range VCO, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol. 48(11), pp. 2705-2716, NOV 2013
13. Vadim Gutnik, Nikolaus Klemmer, Zhihua Wang, Michael Green, Roland Thewes, Introduction to the Special Issue on the 2009 IEEE International Solid-State Circuits Conference, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol 44(12), pp. 3227-3231, DEC 2009
14. Li Zhang, Xueyi Yu, Yuanfeng Sun, Woogeun Rhee, Dawn Wang, Zhihua Wang, Hongyi Chen, A Hybrid Spur Compensation Technique for Finite-Modulo Fractional- Phase-Locked Loops, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL44(11), pp 2922-2934, NOV 2009
15. Xueyi Yu, Yuanfeng Sun, Woogeun Rhee, Zhihua Wang, An FIR-Embedded Noise Filtering Method forΔΣ Fractional-N PLL Clock Generators, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 9, pp 2426-2436, SEPTEMBER 2009
16. Xueyi Yu, Yuanfeng Sun, Woogeun Rhee, Hyung Ki Ahn, Baoyongeong-Ha Park, Zhihua Wang, A ΔΣ Fractional-N Synthesizer With Customized Noise Shaping for WCDMA/HSDPA Applications, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 8, pp 2193-2201, AUGUST 2009
17. Xiang Xie, Guolin Li, Xinkai Chen, Xiaowen Li, Zhihua Wang, A low-power digital IC design inside the wireless endoscopic capsule, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol. 41 (11): 2390-2400 NOV 2006
18. Michael P. Flynn, Philip K. T. Mok, Zhihua Wang, Wolfgang A. Pribyl, Johannes Solhusvik, Introduction to the special issue on the 2006 IEEE International Solid-State Circuits Conference, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol. 41 (12), pp. 2627-2631, DEC 2006
19. Leibo Liu, N Chen, HY Meng, L Zhang, Zhihua Wang, HY Chen, A VLSI architecture of JPEG2000 encoder, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol. 39 (11): 2032-2040 NOV 2004
电路与系统领域国际顶级学术期刊IEEE Transactions on Circuits and Systems I and II (TCAS I & II) – (33)
20. Yanshu Guo, Hanjun Jiang, Heng Liu, Zhaoyang Weng, Woogeun Rhee, Chun Zhang, Zhihua Wang, A 120 pJ/bit ΔΣ -Based 2.4-GHz Transmitter Using FIR-Embedded Digital Power Amplifier, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, Vol.65(12), pp. 1854-1858, DEC 2018
21. Haikun Jia, Clarissa C. Prawoto, Baoyong Chi, Zhihua Wang, C. Patrick Yue, A Full Ka-Band Power Amplifier With 32.9% PAE and 15.3-dBm Power in 65-nm CMOS, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, Vol.65(9), pp. 2657-2668, SEP 2018
22. Xiangyu Meng, Baoyong Chi, Yibo Liu, Taikun Ma, Zhihua Wang, A Fully Integrated 150-GHz Transceiver Front-End in 65-nm CMOS, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, Early Access Articles, pp. 1-1, SEP 2018
23. Xiao Wang, Fule Li, Wen Jia, Zhihua Wang, A 14-bit 500MS/s Time-Interleaved ADC with Autocorrelation-Based Time Skew Calibration, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, Early Access Articles, pp. 1-1, JUN 2018
24. Xiaohua Huang, Dang Liu, Woogeun Rhee, Zhihua Wang, A 1-GHz 1.6-mW Auto-Calibrated Bit Slicer for Energy/Envelope Detection Receivers, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, Vol.65(5), pp. 587-591, MAY 2018
25. Zhaoyang Weng, Hanjun Jiang, Jingjing Dong, Yang Li, Jingyi Zheng, Yiyu Shen, Fule Li, Woogeun Rhee, Zhihua Wang, 400-MHz/2.4-GHz Combo WPAN Transceiver IC for Simultaneous Dual-Band Communication With One Single Antenna, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, Vol.65(2), pp. 745-757, FEB 2018
26. Fei Chen, Woogeun Rhee, Zhihua Wang, A 5-mW 750-kb/s Noninvasive Transceiver for Around-the-Head Audio Applications, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, Vol.65(2), pp. 196-200, FEB 2018
27. Xican Chen, Yiyu Shen, Zhicheng Wang, Woogeun Rhee, Zhihua Wang, 17 mW 3-to-5 GHz Duty-Cycled Vital Sign Detection Radar Transceiver With Frequency Hopping and Time-Domain Oversampling, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, Vol.64(4), pp. 969-980, APR 2017
28. Xuqiang Zheng, Zhijun Wang, Fule Li, Feng Zhao, Shigang Yue, Chun Zhang, Zhihua Wang, A 14-bit 250 MS/s IF Sampling Pipelined ADC in 180 nm CMOS Process, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, Vol.63(9), pp. 1381-1392, SEP 2016
29. Shuai Yuan, Liji Wu, Ziqiang Wang, Xuqiang Zheng, Chun Zhang, Zhihua Wang, A 70 mW 25 Gb/s Quarter-Rate SerDes Transmitter and Receiver Chipset With 40 dB of Equalization in 65 nm CMOS Technology, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, Vol.63(7), pp. 939-949, JUL 2016
30. Xiaobao Yu, Meng Wei, Ying Song, Zhihua Wang, Baoyong Chi, A PAPR-Aware Dual-Mode Subgigahertz CMOS Power Amplifier for Short-Range Wireless Communication, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, Vol.63(1), pp. 44-48, JAN 2016
31. Yudong Zhang, Woogeun Rhee, Taeik Kim, Hojin Park, Zhihua Wang, A 0.35–0.5-V 18–152 MHz Digitally Controlled Relaxation Oscillator With Adaptive Threshold Calibration in 65-nm CMOS, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, Vol. 62 (8), pp. 736 - 740, AUG 2015
32. Ke Huang, Ziqiang Wang, Xuqiang Zheng, Chun Zhang, Zhihua Wang, A 80 mW 40 Gb/s Transmitter With Automatic Serializing Time Window Search and 2-tap Pre-Emphasis in 65 nm CMOS Technology, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, Vol. 62 (5), pp. 1441 - 1450, MAY 2015
33. Xinwang Zhang, Baoyong Chi, Zhihua Wang, A 0.1–1.5 GHz Harmonic Rejection Receiver Front-End With Phase Ambiguity Correction, Vector Gain Calibration and Blocker-Resilient TIA, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, Vol. 62 (4), pp. 1005 - 1014, APR 2015
34. Lixue Kuang, Baoyong Chi, Haikun Jia, Wen Jia, Zhihua Wang, A 60-GHz CMOS Dual-Mode Power Amplifier With Efficiency Enhancement at Low Output Power, IEEE
TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, Vol. 62 (4), pp. 352 - 356, APR 2015
35. Haikun Jia, Baoyong Chi, Lixue Kuang, Zhihua Wang, A 38-to 40-GHz Current-Reused Active Phase Shifter Based on the Coupled Resonator, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, Vol. 61(12), pp. 917-921, DEC 2014
36. Xican Chen, Wei Zhang, Woogeun Rhee, Zhihua Wang, A Delta Sigma TDC-Based Beamforming Method for Vital Sign Detection Radar Systems, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, Vol. 61(12), pp. 932-936, DEC 2014
37. Yun Yin, Baoyong Chi, Yanqiang Gao, Xiaodong Liu, Zhihua Wang, A 0.1-5.0 GHz Reconfigurable Transmitter With Dual-Mode Power Amplifier and Digitally-Assisted Self-Calibration for Private Network Communications, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: Regular Papers, pp. 3266-3277, NOV 2014
38. Yun Yin, Baoyong Chi, Zhaokang Xia, Zhihua Wang, A Reconfigurable Dual-Mode CMOS Power Amplifier With Integrated T/R Switch for 0.1-1.5-GHz Multistandard Applications, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, Vol. 61(7), pp. 471-475, JUL 2014
39. Jun Hu, Guolin Li, Xiang Xie, Zhong Lv, Zhihua Wang, Bare-fingers Touch Detection by the Button's Distortion in a Projector-Camera System, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, Vol. 24(4), pp. 566-575, APR 2014
40. Yutao Liu, Yizhi Han, Woogeun Rhee, Tae-Young Oh, Zhihua Wang, A PSRR Enhancing Method for GRO TDC Based Clock Generation Systems, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERSVol. 61(3), pp. 680-688, MAR 2014
41. Xu Zhang, Ming Liu, Bo Wang, Hong Chen, Zhihua Wang, A Wide Measurement Range and Fast Update Rate Integrated Interface for Capacitive Sensors Array, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, Vol. 61(1), pp. 2-11, Jan. 2014
42. Wei Zhang, Xican Chen, Fei Chen, Woogeun Rhee, Zhihua Wang, A Phase-Domain Delta Sigma Ranging Method for FMCW Radar Receivers, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, Vol. 60(9), pp. 537-541, SEP 2013
43. Nan Qi, Yang Xu, Baoyong Chi, Yang Xu, Xiaobao Yu, Xing Zhnag, Ni Xu, Patrick Chiang, Woogeun Rhee, Zhihua Wang, A Dual-Channel Compass/GPS/GLONASS/Galileo Reconfigurable GNSS Receiver in 65 nm CMOS With On-Chip I/Q Calibration, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS Vol. 59(8), pp. 1720-1732, AUG 2012
44. Bo Zhou, Jian Qiao, Rui He, Jinghui Liu, Wei Zhang, Hang Lv, Woogeun Rhee, Yongming Li, Zhihua Wang, A Gated FM-UWB System With Data-Driven Front-End Power Control, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, Vol. 59(6), pp. 1348-1358, JUN 2012
45. Liyuan Liu, Dongmei Li, Liangdong Chen, Zhihua Wang, A 1-V 15-Bit Audio Delta Sigma-ADC in 0.18 mu m CMOS, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, Vol. 59(5), pp. 915-925, MAY 2012
46. Yang Xu , Baoyong Chi, Xiaobao Yu, Nan Qi, Patrick Chiang, Zhihua Wang, Power-Scalable, Complex Bandpass/Low-Pass Filter With I/Q Imbalance Calibration for a Multimode GNSS Receiver, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, Vol. 59(1), pp. 30-34, JAN 2012
47. Yuanfeng SUN, Jian Qiao, Xueyi YU, Woogeun Rhee, Byeong-Ha Park, Zhihua Wang, A Continuously Tunable Hybrid LC-VCO PLL With Mixed-Mode Dual-Path Control and Bi-level Delta-Sigma Modulated Coarse Tuning, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS,Vol 58(9), pp: 2149-2158, SEP 2011
48. Xiaoman Wang, Baoyong Chi, Zhihua Wang, A Low-Power High-Data-Rate ASK IF
Receiver With a Digital-Control AGC Loop, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, Vol. 57(8), pages: 617-621,AUG 2010
49. Baoyong Chi, Jinke Yao, Patrick Chiang, Zhihua Wang, A 0.18-mu m CMOS GFSK Analog Front End Using a Bessel-Based Quadrature Discriminator With On-Chip Automatic Tuning, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I, VOL 56(11), pp 2498-2510, NOV 2009
50. Baoyong Chi, Jinke Yao, Patrick Chiang, Zhihua Wang, A Fast-Settling Wideband-IF ASK Baseband Circuit for a Wireless Endoscope Capsule, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II, Vol 56(4), pp 275-279, APR 2009
51. Shuguang Han, Baoyong Chi, Zhihua Wang, A mixed-loop CMOS analog GFSK modulator with tunable modulation index, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, Vol 54 (6): 547-551 JUN 2007
52. Lu Liu, Zhihua Wang, Analysis and design of a low-voltage RF CMOS mixer, IEEE Transactions on Circuits and Systems II-Express Briefs 53 (3): 212-216 MAR 2006
生物医疗电路与系统领域国际顶级学术期刊IEEE Transactions on Biomedical Circuits and Systems – (12)
53. Fei Chen, Shuai Wang, Juanjuan Li, Huajun Tan, Wen Jia, Zhihua Wang, Smartphone-Based Hearing Self-Assessment System using Hearing Aids with Fast Audiometry Method, IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, Early Access Articles, pp. 1-1, OCT 2018
54. Mingzhu Long, Zhuo Li, Xiang Xie, Guolin Li, Zhihua Wang, Adaptive Image Enhancement Based on Guide Image and Fraction-Power Transformation for Wireless Capsule Endoscopy, IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, Vol.12(5), pp. 993-1003, OCT 2018
55. Hanjun Jiang, Yanshu Guo, Zeliang Wu, Chun Zhang, Wen Jia, Zhihua Wang, Implantable Wireless Intracranial Pressure Monitoring Based on Air Pressure Sensing, IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, Vol.12(5), pp. 1076-1087, OCT 2018
56. Shaojie Su, Yixin Zhou, Zhihua Wang, Hong Chen, Monocular Vision-and IMU-Based System for Prosthesis Pose Estimation During Total Hip Replacement Surgery, IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, Vol.11(3), pp. 661-670, JUN 2017
57. Yingke Gu, Xiang Xie, Guolin Li, Tianjia Sun, Dan Wang, Zheng Yin, Pengfei Zhang, Zhihua Wang, Design of Endoscopic Capsule With Multiple Cameras, IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, Vol. 9 (4), pp. 590 - 602, AUG 2015
58. Hong Chen, Jiyang Gao, Shaojie Su, Xu Zhang, Zhihua Wang, A Visual-Aided Wireless Monitoring System Design for Total Hip Replacement Surgery, IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, Vol. 9 (2), pp. 227 - 236, APR 2015
59. Dan Wang, Xiang Xie, Guolin Li, Zheng Yin, Zhihua Wang, A Lumen Detection-Based Intestinal Direction Vector Acquisition Method for Wireless Endoscopy Systems, IEEE TRANSACTIONS ON BIOMEDICAL ENGINEERING, Vol. 62 (3), pp. 807 - 819, MAR 2015
60. Tianjia Sun, Xiang Xie, Guolin Li, Yingke Gu, Yangdong Deng, Zhihua Wang, A Two-Hop Wireless Power Transfer System With an Efficiency-Enhanced Power Receiver for Motion-Free Capsule Endoscopy Inspection, IEEE TRANSACTIONS ON BIOMEDICAL ENGINEERING, Vol. 59(11), pp. 3247-3254, NOV 2012
61. Xiaoyu Zhang, Hanjun Jiang, Lingwei Zhang, Chun Zhang, Zhihua Wang, Xinkai Chen, An Energy-Efficient ASIC for Wireless Body Sensor Networks in Medical Applications, IEEE
TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, Vol. 4(1), pp. 11-18, FEB 2010
62. Hong Chen, Ming Liu, Wenhan Hao, Yi Chen, Chen Jia, Chun Zhang, Zihua Wang, Low-Power Circuits for the Bidirectional Wireless Monitoring System of the Orthopedic Implants, IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, Vol 3(6), pp. 437-443, DEC 2009
63. Xinkai Chen, Xiaoyu Zhang, Linwei Zhang, Xiaowen Li, Nan Qi, Hanjun Jiang, Zhihua Wang, A Wireless Capsule Endoscope System With Low-Power Controlling and Processing ASIC, IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, Vol. 3(1), pp.11-22, FEB 2009
64. Baoyong Chi, Jinke Yao, Shuguang Han, Xiang Xie, Guolin Li, Zhihua Wang, Low-power transceiver analog front-end circuits for bidirectional high data rate wireless telemetry in medical endoscopy applications, IEEE TRANSACTIONS ON BIOMEDICAL ENGINEERING, Vol 54 (7): 1291-1299 JUL 2007
其他 IEEE Transactions期刊论文 – (25)
65. Hong Chen, Zhe Cao, Shaojie Su, Jie Liu, Zhihua Wang, Measurement System for Attitude of Anterior Pelvic Plane and Implantation of Prothesis in THR Surgery, IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, Vol.67(8), pp. 1913-1921, AUG 2018
66. Xian Tang, Jianxu Zeng, Kong Pang Pun, Songping Mai, Chun Zhang, Zhihua Wang, Low-Cost Maximum Efficiency Tracking Method For Wireless Power Transfer Systems, IEEE TRANSACTIONS ON POWER ELECTRONICS, Vol.33(6), pp. 5317-5329, JUN 2018
67. Kai Huang, Biao Hu, Long Chen, Alois Knoll, Zhihua Wang, Adas on Cots with OpenCL: A Case Study with Lane Detection, IEEE TRANSACTIONS ON COMPUTERS, Vol.67(4), pp. 559-565, APR 2018
68. Yiyi Ren, Xiang Xie, Guolin Li, Zhihua Wang, Hand Gesture Recognition With Multiscale Weighted Histogram of Contour Direction Normalization for Wearable Applications, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, Vol.28(2), pp. 364-377, FEB 2018
69. Yiyi Ren, Xiang Xie, Guolin Li, Zhihua Wang, A Scan-Line Forest Growing-Based Hand Segmentation Framework With Multipriority Vertex Stereo Matching for Wearable Devices, IEEE TRANSACTIONS ON CYBERNETICS, Vol.48(2), pp. 556-570, FEB 2018
70. Xiaoyong Li, Sitao Lv, Woogeun Rhee, Wen Jia, Zhihua Wang, 20-Mb/s GFSK Modulator Based on 3.6-GHz Hybrid PLL With 3-b DCO Nonlinearity Calibration and Independent Delay Mismatch Control, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, Vol.65(7), pp. 2387-2398, JUL 2017
71. Junfeng Zhang, Yang Xu, Zehong Zhang, Yichuang Sun, Zhihua Wang, Baoyong Chi, A 10-b Fourth-Order Quadrature Bandpass Continuous-Time Sigma Delta Modulator With 33-MHz Bandwidth for a Dual-Channel GNSS Receiver, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, Vol.65(4), pp. 1303-1314, APR 2017
72. Yang Xu, Xinwang Zhang, Zhihua Wang, Baoyong Chi, A Flexible Continuous-Time ΔΣ ADC With Programmable Bandwidth Supporting Low-Pass and Complex Bandpass Architectures, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, Vol.25(3), pp. 872-880, MAR 2017
73. Haikun Jia, Lixue Kuang, Zhihua Wang, Baoyong Chi, A W-Band Injection-Locked Frequency Doubler Based on Top-Injected Coupled Resonator, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, Vol.64(1), pp. 210-218, JAN 2016
74. Yang Xu, Zehong Zhang, Baoyong Chi, Nan Qi, Hualin Cai, Zhihua Wang, A 5-/20-MHz BW Reconfigurable Quadrature Bandpass CT ΔΣ ADC With AntiPole-Splitting Opamp and
Digital I/Q Calibration, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, Vol.24(1), pp. 243-255, JAN 2016
75. Qi Peng, Chun Zhang, Xijin Zhao, Xuguang Sun, Fule Li, Hong Chen, Zhihua Wang, A Low-Cost UHF RFID System With OCA Tag for Short-Range Communication, IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, Vol.62 (7), pp. 4455 - 4465, JUL 2015
76. Yun Yin, Baoyong Chi, Zhigang Sun, Xinwang Zhang, Zhihua Wang, A 0.1–6.0-GHz Dual-Path SDR Transmitter Supporting Intraband Carrier Aggregation in 65-nm CMOS, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, Vol. 23 (5), pp. 944 - 957, MAY 2015
77. Haikun Jia, Baoyong Chi, Lixue Kuang, Zhihua Wang, A 47.6–71.0-GHz 65-nm CMOS VCO Based on Magnetically Coupled π-Type LC Network, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, Vol. 63 (5), pp. 1645 - 1657, MAY 2015
78. Yun Yin, Xiaobao Yu, Zhihua Wang, Baoyong Chi, An Efficiency-Enhanced Stacked 2.4-GHz CMOS Power Amplifier With Mode Switching Scheme for WLAN Applications, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, Vol. 63(2), pp. 672 - 682, Feb 2015
79. Haikun Jia, Baoyong Chi, Lixue Kuang, Zhihua Wang, A W-Band Power Amplifier Utilizing a Miniaturized Marchand Balun Combiner, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, Vol. 63(2), pp. 719-725, FEB 2015
80. Lixue Kuang, Xiaobao Yu, Haikun Jia, Lei Chen, Wei Zhu, Meng Wei, Zheng Song, Zhihua Wang, Baoyong Chi, A Fully Integrated 60-GHz 5-Gb/s QPSK Transceiver With T/R Switch in 65-nm CMOS, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, Vol. 62(12), pp. 3131-3145, DEC 2014
81. Lixue Kuang, Baoyong Chi, Haikun Jia, Zuochang Ye, Wen Jia, Zhihua Wang, Co-Design of 60-GHz Wideband Front-End IC With On-Chip T/R Switch Based on Passive Macro-Modeling, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, pp. 2743-2754, NOV 2014
82. Shuai Mu, Yandong Deng, Yubei Chen, Huaiming Li, Jianming Pan, Wenjun Zhang, Zhihua Wang, Orchestrating Cache Management and Memory Scheduling for GPGPU Applications, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, Vol. 22(8), pp. 1803-1814, AUG 2014
83. Qi Peng, Chun Zhang, Zhihua Wang, A Multi-Tag Emulator for the UHF RFID System, IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT , Vol. 63(6), pp. 1461-1469, JUN 2014
84. Fei Chen, Wei Zhang, Woogeun Rhee, Jongjin Kim, Dongwook Kim, Zhihua Wang, A 3.8-mW 3.5-4-GHz Regenerative FM-UWB Receiver With Enhanced Linearity by Utilizing a Wideband LNA and Dual Bandpass Filters, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, Vol. 61(9), pp. 3350-3359, SEP 2013
85. Hong CHEN, Ming LIU, Chen Jia, Zihua Wang, Power Harvesting Using PZT Ceramics Embedded in Orthopedic Implants, IEEE TRANSACTIONS ON ULTRASONICS FERROELECTRICS AND FREQUENCY CONTROL, VOL 56(9), pp. 2010-2014, SEP 2009
86. Hsiaowei Su, BAOYONG Chi, Zhihua Wang. System design considerations of highly-integrated DAB receiver RF front-end, IEEE TRANSACTIONS ON CONSUMER ELECTRONICS, Vol. 51 (4): 1319-1325 NOV 2005
87. Albert Zihui Wang, HG Feng, RY Zhan, HL Xie, G Chen, Q Wu, XK Guan, Zhihua Wang, C Zhang , A review on RF ESD protection design, IEEE TRANSACTIONS ON ELECTRON DEVICES, Vol. 52 (7): 1304-1311 JUL 2005
88. Chunsheng Liu, Zhihua Wang, GQ Chen, YM Li, ED Wu, DJ Li, B Li, WB Dou, ZW Dong: A DAB transmitter prototype with high flexibility and low cost, IEEE TRANSACTIONS ON
BROADCASTING, Vol. 48 (3): 173-178 SEP 2002
89. Zhihua Wang, Geogies Gilen, Willy Sansen, Probabilistic Fault Detection and the Selection of Measurements for Analog Integrated Circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 17(09), pp. 861-871, September 1998
其他SCI收录期刊论文– (108)
90. Kang Zhao, Xiyang Zhu, Hanjun Jiang, Chun Zhang, Zhihua Wang, Bowen Fu, Dynamic loss for one-stage object detectors in computer vision, ELECTRONICS LETTERS, Vol.54(25), pp. 1433-1434, DEC 2018
91. Fangxu Lv, Xuqiang Zheng, Feng Zhao, Jianye Wang, Shigang Yue, Ziqiang Wang, Weidong Cao, Yajun He, Chun Zhang, Hanjun Jiang, Zhihua Wang, A power scalable 2–10 Gb/s PI-based clock data recovery for multilane applications, MICROELECTRONICS JOURNAL, Vol.82, pp. 36-45, DEC 2018
92. Wendi Yang, Hanjun Jiang, Zhihua Wang, Crystal-less oscillator calibration using serial data as frequency reference, ELECTRONICS LETTERS, Vol.54(16), pp. 967-968, AUG 2018
93. Yue Yin, Hanjun Jiang, Shulin Feng, Juzheng Liu, Ping Chen, Binjie Zhu, Zhihua Wang, Bowel sound recognition using SVM classification in a wearable health monitoring system, SCIENCE CHINA (INFORMATION SCIENCES), Vol.61(8), pp. 084301:1–084301:3, AUG 2018
94. Xinwang Zhang, Zipeng Chen, Yanqiang Gao, Yang Xu, Bingqiao Liu, Qian Yu, Yichuang Sun, Zhihua Wang, Baoyong Chi, A 0.1–5.0 GHz flexible SDR receiver with digitally assisted calibration in 65 nm CMOS, MICROELECTRONICS JOURNAL, Vol.72, pp. 58-73, FEB 2018
95. Xiangyu Meng, Baoyong Chi, Zhihua Wang, CMOS Cross-Coupled Oscillator Operating Close to the Transistor's fmax, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, 2017, Vol.27(12), pp. 1131 - 1133, DEC 2017
96. Xiangyu Meng, Baoyong Chi, Zhihua Wang, A 152-GHz OOK Transmitter With 3-dBm Output Power in 65-nm CMOS, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, Vol.27(8), pp. 748-750, AUG 2017
97. Xian Tang, Jianxu Zeng, Yanqi Zheng, Ka Nang Leung, Zhihua Wang, Limit-cycle oscillation reduction in high-efficiency wireless power receiver, ELECTRONICS LETTERS, Vol.53(16), pp. 1152-1153, AUG 2017
98. Xiangyu Meng, Baoyong Chi, Zhihua Wang, D-band neutralized amplifier design based on accurate millimeter-wave de-embedding method, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol.91(3), pp. 367-376, JUN 2017
99. Jingjing Dong, Hanjun Jiang, Kai Yang, Zhaoyang Weng, Fule Li, Jianjun Wei, Yanqing Ning, Xinkai Chen, Zhihua Wang, A Wireless Body Sound Sensor with a Dedicated Compact Chipset, CIRCUITS SYSTEMS AND SIGNAL PROCESSING, Vol.36(6), pp. 2341-2359, JUN 2017
100. Haixin Song, Woogeun Rhee, Inbo Shim, Zhihua Wang, Digital LDO with 1-bit ΔΣ modulation for low-voltage clock generation systems, ELECTRONICS LETTERS, Vol.52(25), pp. 2034-2036, DEC 2016
101. Zhaoyang Weng, Hanjun Jiang, Jingjing Dong, Fule Li, Zhihua Wang, 400–450 MHz power amplifier with high-order harmonic suppression for multi-protocol transceiver, ELECTRONICS LETTERS, Vol.52(23), pp. 1927-1929, NOV 2016
102. Hanjun Jiang, Zhaoyang Weng, Yang Li, Jingjing Dong, Woogeun Rhee, Zhihua Wang, 10 Mbps 0.3 nJ/bit OQPSK transceiver IC for 400–450 MHz medical telemetry, ELECTRONICS LETTERS, Vol.52(22), pp. 1830-1832, OCT 2016
103. Hanjun Jiang, Xiyang Zhu, Wenao Xie, Feng Guo, Chun Zhang, Zhihua Wang, Vision-based tactile sensor using depth from defocus for artificial finger in hand prosthesis,
ELECTRONICS LETTERS, Vol.52(20), pp. 1665-1667, SEP 2016
104. Hanjun Jiang, Zheyao Wang, Shujie Yang, Heng Liu, Zhihua Wang, CMOS wireless stress sensor IC with 256-cell sensing array for ultra-thin applications, ELECTRONICS LETTERS, Vol.52(20), pp. 1660-1661, SEP 2016
105. Jingjing Dong, Hanjun Jiang, Kai Yang, Zhaoyang Weng, Fule Li, Jianjun Wei, Yanqing Ning, Xinkai Chen, Zhihua Wang, A Wireless Body Sound Sensor with a Dedicated Compact Chipset, CIRCUITS, SYSTEMS, AND SIGNAL PROCESSING, pp 1-19, SEP 2016
106. Heng Liu, Hanjun Jiang, Jingpei Xia, Zhexiang Chi, Fule Li, Zhihua Wang, A Fully Integrated SoC for Smart Capsule Providing In-Body Continuous pH and Temperature Monitoring, JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, Vol.16(5), pp. 542-549, OCT 2016
107. Dang Liu,Xiaofeng Liu, Woogeun Rhee, Zhihua Wang, A 7.6 mW 2 Gb/s Proximity Transmitter for Smartphone-Mirrored Display Applications, JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, Vol.16(4), pp. 415-424, AUG 2016
108. Ni Xu, Yiyue Shen, Sitao Lv, Woogeun Rhee, Zhihua WANG, A Two-Point Modulation Spread-Spectrum Clock Generator With FIR-Embedded Binary Phase Detection and 1-Bit High-Order Delta Sigma Modulation, JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, Vol.16(4), pp. 425-435, AUG 2016
109. Yue Yin, Hanjun Jiang, Wendi Yang, Zhihua Wang, Intestinal motility assessment based on Legendre fitting of logarithmic bowel sound spectrum, ELECTRONICS LETTERS, Vol.52(16), pp. 1364-1366, APR 2016
110. Xinwang Zhang, Zhihuan Wang, Baoyong Chi, High isolation wideband CMOS T/R switch with leakage cancellation technique for software-defined radio transceiver, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol.87(1), pp 93-99, ARP 2016
111. Haikun Jia, Baoyong Chi, Lixue Kuang, Zhihua Wang, Simple and robust self-healing technique for millimetre-wave amplifiers, IET CIRCUITS, DEVICES & SYSTEMS, Vol.10(1), pp. 37-43, JAN 2016
112. Xiangyu Meng, Baoyong Chi, Zhihua Wang, An 110GHz CMOS Colpitts VCO based on switched coupled-inductor, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol.86(1), pp 115-120, JAN 2016
113. Xiangyu Meng, Zhihua Wang, Baoyong Chi, A 180 GHz differential Colpitts VCO in 65 nm CMOS, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol.86(1), pp 25-31, JAN 2016
114. Weitao Li, Fule Li, Changyi Yang, Shengjing Li, Zhihua Wang, An 85mW 14-bit 150MS/s pipelined ADC with a merged first and second MDAC, CHINA COMMUNICATIONS, Vol.12(5), pp. 14-21, MAY 2015
115. Dake Liu, Zhihua Wang, Li Luo, Guest editorial: Special issue on Communication IC, CHINA COMMUNICATIONS, Vol.12(5), pp. iii-vi, MAY 2015
116. Shuai Yuan, Ziqiang Wang, Xuqiang Zheng, Wen Jia, Liji Wu, Chun Zhang, Zhihua Wang, 10 Gbit/s serial link receiver with speculative decision feedback equaliser using mixed-signal adaption in 65 nm CMOS technology, ELECTRONICS LETTERS, Vol. 51(21), pp. 1645-1647, OCT 2015
117. Haikun Jia, Baoyong Chi, Lixue Kuang, Xiaobao Yu, Lei Chen, Wei Zhu, Meng Wei, Zheng Song, Zhihua Wang, Research on CMOS Mm-Wave Circuits and Systems for Wireless Communications, CHINA COMMUNICATIONS, Vol 12(5), pp. 1-13, May 2015
118. Weitao Li, Fule Li, Changyi Yang, Minzeng Li, Zhihua Wang, A power-efficient reference buffer with wide swing for switched-capacitor ADC, MICROELECTRONICS JOURNAL, Vol. 46 (5), pp. 410-414, MAY 2015
119. Xican Chen, Woogeun Rhee, Zhihua Wang, Low Power Sensor Design for IoT and
Mobile Healthcare Applications, CHINA COMMUNICATIONS, Vol 12(5) pp.42-54, MAY 2015
120. Haikun Jia, Baoyong Chi, Lixue Kuang, Zhihua Wang, A Simple and Robust Self-Healing Technique for Millimeter-Wave Amplifiers, IET CIRCUITS DEVICES & SYSTEMS, 2015.
121. Ni Xu, Woogeun Rhee, Zhihua Wang, A 2 GHz 2 Mb/s Semi-Digital 2+-Point Modulator With Separate FIR-Embedded 1-Bit DCO Modulation in 0.18 μm CMOS, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, Vol. 25 (4), pp. 253 - 255, APR 2015
122. Xiaobao Yu, Ying Song, Zhihua Wang, Baoyong Chi, Self-tuned SAW-less GNSS receiver front end with blocker filtering and gain-irrelevant DC offset cancellation, ELECTRONICS LETTERS, Vol. 51 (8), pp. 653 - 654, APR 2015
123. Xinwang Zhang, Bingqiao Liu, Zhihua Wang, Baoyong Chi,An LP/CBP reconfigurable analog baseband circuit for software-defined radio receivers in 65 nm CMOS, MICROELECTRONICS JOURNAL, Vol. 46(1), pp. 81-95, JAN 2015
124. Ke Huang, Ziqiang Wang, Xuqiang Zheng, Chun Zhang, Zhihua Wang, 2-tap pre-emphasis SST transmitter with skin effect loss equalisation in 65 nm CMOS technology, ELECTRONICS LETTERS, Vol. 50 (25), pp. 1910 - 1912, DEC 2014
125. Kai Yang, Hanjun Jiang, Wendi Yang, Frederic Mes, Chun Zhang, Zhihua Wang, Qingliang Lin, Wen Jia, Lifetime tracing of cardiopulmonary sounds with low-power sound sensor stick connected to wireless mobile network, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol. 81(3), pp. 623-634, DEC 2014
126. Shuai Yuan, Ziqiang Wang, Xuqiang Zheng, Liji Wu, Chun Zhang, Zhihua Wang, A 9-Gb/s quarter-rate 4-tap decision feedback equalizer in 0.18-mu m CMOS technology, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol. 81 (3), pp. 777-788, DEC 2014
127. Ziqiang Wang, Hui Jiang, Chun Zhang, Hanjun Jiang, Zhihua Wang, A chopper current feedback instrument amplifier with bandpass amplification stage, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol. 81(3), pp. 763-775, DEC 2014
128. Ying Zhou, Dan Wang, Xiang Xie, Yiyi Ren, Guolin Li, Yangdong Deng, Zhihua Wang, A Fast and Accurate Segmentation Method for Ordered LiDAR Point Cloud of Large-Scale Scenes, IEEE GEOSCIENCE AND REMOTE SENSING LETTERS, Vol. 11(11), pp. 1981-1985, NOV 2014
129. Xiangyu Meng, Baoyong Chi, Haikun Jia, Lixue Kuang, Zhihua Wang, 142 GHz amplifier with 18.5 dB gain and 7.9 mW DC power in 65 nm CMOS, ELECTRONICS LETTERS, Vol.50(21), page 1513, OCT 2014
130. JingJing Dong, HanJun Jiang, LingWei Zhang, JianJun Wei, FuLe Li, Chun Zhang, ZhiHua Wang, A low-power DC offset calibration method independent of IF gain for zero-IF receiver, SCIENCE CHINA-INFORMATION SCIENCES, Vol. 57(10), pp. 213-222, OCT 2014
131. Cong Shi, Jie Yang, Liyuan Liu, Nanjian Wu, Zhihua Wang, A massively parallel keypoint detection and description(MP-KDD) algorithm for high-speed vision chip, SCIENCE CHINA (INFORMATION SCIENCES), Vol.57(10), pp. 188-199, 2014
132. Cong Shi, Jie Yang, Nanjian Wu, Zhihua Wang, A high speed multi-level-parallel array processor for vision chips, SCIENCE CHINA (INFORMATION SCIENCES), Vol.57(6), pp. 211-222, 2014
133. Ke Huang, Ziqiang Wang, Xuqiang Zheng, Kunzhi Yu, Chun Zhang, Zhihua Wang, A 5+ 1-lane 3-10 Gbps 3.5 mW/Gb/s source synchronous receiver in 65 nm CMOS technology, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol. 80(3), pp. 519-529, SEP 2014
134. Haikun Jia, Baoyong Chi, Lixue Kuang, Zhihua Wang, A 77 GHz FMCW radar transmitter with reconfigurable power amplifier in 65 nm CMOS, MICROELECTRONICS JOURNAL, Vol. 45(7), pp. 898-903, JUL 2014
135. Wei Song, Xiang Xie, Guolin Li, Zhihua Wang, Flexible method to calibrate projector-camera systems with high accuracy, ELECTRONICS LETTERS, Vol. 50(23), pp. 1685-1687, JUN 2014
136. Yun Yin, Baoyong Chi, Zhihua Wang, Efficiency-enhanced self-biased PA driver for multi-standard applications, ELECTRONICS LETTERS, Vol. 50(13), pp. 927-928, JUN 19 2014
137. Ke Huang, Ziqiang Wang, Xuqiang Zheng, Chun Zhang, Zhihua Wang, 2 GHz sub-harmonically injection-locked PLL with mixer-based injection timing control in 0.18 mu m CMOS technology, ELECTRONICS LETTERS, Vol. 50(12), pp. 855-857, JUN 5 2014
138. Xinwang Zhang, Baoyong Chi, Meng Cao, Ling Fu, Zhaokang Xia, Yun Yin, Hongxing Feng, Xing Zhang, Patrick Chiang, Zhihua Wang, A 0.1-4 GHz SDR receiver with reconfigurable 10-100 MHz signal bandwidth in 65 nm CMOS, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol. 77(3), pp. 567-582, DEC 2013
139. Yang Xu, Baoyong Chi, Zhihua Wang, Gate-leakage compensation scheme for programmable SI-DAC of ΣΔ modulatorin deep sub-micron, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Volume: 76(1), pp. 155-160, JUL 2013
140. Bo Zhou, Fei Chen, Woogeun Rhee, Zhihua Wang, A Reconfigurable FM-UWB Transceiver for Short-Range Wireless Communications, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, Volume: 23(7), pp.371-373, JUL 2013
141. Nan Qi, Baoyong Chi, Yang Xu, Zhihua Wang, Radio-frequency amplifier with tunable high-Q RF bandpass filtering for SAW-less wireless receivers, ELECTRONICS LETTERS Volume: 49(10), pp. 656-658, MAY 2013
142. Hui Jiang, Ziqiang Wang, Chun Zhang, Hanjun Jiang, Zhihua Wang, A combined low power SAR capacitance-to-digital analog-to-digital converter for multisensory system, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING Volume: 75(2-SI), Pages: 311-322, MAY 2013
143. Woogeun Rhee,Ni Xu, Bo Zhou, Zhihua Wang, Fractional-N Frequency Synthesis: Overview and Practical Aspects with FIR-Embedded Design, JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE Volume: 13(2), pp. 170-183, APR 2013
144. Bo Zhou, Woogeun Rhee, Dongwook Kim, Zhihua Wang, Reconfigurable FM-UWB transmitter design for robust short range communications, TELECOMMUNICATION SYSTEMS Vol. 52(2), pp. 1133-1144, FEB 2013
145. Tianjia Sun, Xiang Xie, Guolin Li, Yingke Gu, Zhihua Wang, Indoor Wireless Power Transfer Using Asymmetric Directly-Strong-Coupling Mechanism, MICROWAVE AND OPTICAL TECHNOLOGY LETTERS Volume: 55(2), Pages: 250-253, FEB 2013
146. Y. Han, D. Lin, S. Geng, N. Xu, W. Rhee, T-Y Oh, Z. Wang, All-digital PLL with Delta Sigma DLL embedded TDC, ELECTRONICS LETTERS Vol. 49(2), pp. 93-U3, JAN 2013
147. Lingwei Zhang, Hanjun Jiang, Fule Li, Jingjing Dong, Jianwei Cui, Chun Zhang, Zhihua Wang, DC offset calibration method for zero-IF receiver removing the PGA-gain-correlated offset residue, AEU-INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATIONS, Volume: 67(7), pp. 578-584, DEC 2012
148. Yingke Gu, Xiang Xie, Guolin Li, Tianjia Sun, Zhihua Wang, Two-stage wireless capsule image compression with low complexity and high quality, ELECTRONICS LETTERS Vol. 48(25), DEC 2012
149. Yuanfeng Sun, Zhuo Zhang, Ni Xu, Min Wang, Woogeun Rhee, Tae-Young Oh, Zhihua Wang, A 1.75 mW 1.1 GHz Semi-Digital Fractional-N PLL With TDC-Less Hybrid Loop
Control, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS Vol. 22(12), Pages: 654-656, DEC 2012
150. Tianjia Sun, Xiang Xie, Guolin Li, Yingke Gu, Zhihua Wang, Rectigulator: a hybrid of rectifiers and regulators for miniature wirelessly powered bio-microsystems, ELECTRONICS LETTERS, Vol. 48(19), pp. 1181-U25, SEP 13 2012
151. Hong Chen, Xu Zhang, Ming Liu, Wenhan Hao, Hanjun Jiang, Chen Jia, Chun Zhang, Zhihua Wang, Low-power circuits for the wireless ligament balance measurement system in TKA, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol. 72(2), pp. 293-302, AUG 2012
152. Tianjia Sun, Xiang Xie, Guolin Li, Yingke Gu, Yangdong Deng, Zhihua WANG, Integrated omnidirectional wireless power receiving circuit for wireless endoscopy, ELECTRONICS LETTERS, Vol. 48(15), pp. 907-908, JUL 19 2012
153. Baoyong Chi, Zhihua Wang, S. Simon Wong, A superheterodyne receiver front-end with on-chip automatically Q-tuned notch filters, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol. 71(3), pp. 453-463, JUN 2012
154. Haikun Jia, Baoyong Chi, Lixue Kuang, Zhihua Wang, A 1V, 69-73GHz CMOS power amplifier based on improved Wilkinson power combiner, MICROELECTRONICS JOURNAL, Vol. 43(6), pp. 370-376, JUN 2012
155. Baoyong Chi, Xiaoman Wang, Lingwei Zhang, Songyuan Cheng, Zhihua Wang, A 1.8-V, 5-mA reconfigurable analog baseband circuit for low-IF multi-mode multi-band receivers, INTERNATIONAL JOURNAL OF ELECTRONICS, Vol. 99(5), pp. 695-705, MAY 2012
156. Xuguang Sun, Baoyong CHI, Chun ZHANG, Ziqiang WANG, Zhihua WANG, Ultra-high-frequency radio frequency identification reader receiver with 10 dBm input P1 dB and -74 dBm sensitivity in 0.18 mu m CMOS, IET CIRCUITS DEVICES & SYSTEMS, Vol 5(5), pp: 392-402, SEP 2011
157. Bo ZHOU, Woogeun Rhee, Zhihua WANG, Relaxation oscillator with quadrature triangular and square waveform generation, ELECTRONICS LETTERS, Vol. 47(13), pp: 779-U76, JUN 23 2011
158. Baoyong Chi, Kasra Omid-Zohoor, Zhihua WANG, S. Simon Wong, A 65 nm CMOS fully-integrated dynamic reconfigurable differential power amplifier with high gain in both bands, MICROELECTRONICS JOURNAL, Vol 42(6), pp: 855-862, JUN 2011
159. Bo Zhou, Woogeun Rhee, Zhihua WANG, Reconfigurable FM-UWB transmitter, ELECTRONICS LETTERS, Vol 47( 10), pp: 628-629, MAY 12 2011
160. Baoyong CHI, Shuguang HAN, Zhihua WANG, A low power direct conversion receiver RF front-end with high in-band IIP2/IIP3 and low 1/f noise , ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol 67(2), pp: 131-136, MAY 2011
161. Tongqiang Gao, Haigang Yang, Zhihua Wang, A multimode, power-adjustable transmitter for UHF mobile RFID reader, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol. 66(3), pp. 323-329, MAR 2011
162. Xiaoyu ZHANG, Hanjun Jiang, Songyuan Cheng, Lingwei ZHANG, Fule Li, Chun Zhang, Zhihua WANG, A High-Efficiency Work-on-Demand SoC with a 0.9V/165 mu W MCU and Dual-Band RF for WBSN , CHINESE JOURNAL OF ELECTRONICS, Vol 20(1), pp: 21-26, JAN 2011
163. Yuanfeng Sun, Xueyi Yu, Woogeun Rhee, Dawn Wang, Zhihua Wang, A Fast Settling Dual-Path Fractional-N PLL With Hybrid-Mode Dynamic Bandwidth Control, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, Vol. 20(8), pages:462-464 AUG 2010
164. Yuanfeng Sun, Xueyi Yu, Woogeun Rhee, Sangsoo Ko, Wooseung Choo, Baoyongeong-Ha Park, Zhihua Wang, Dual-Path LC VCO Design With Partitioned Coarse-Tuning Control in 65 nm CMOS, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, Vol 20(3), pp. 169-171, MAR 2010
165. Hong Chen, Chen Jia, Wenhan Hao, Chun Zhang, Zhihua Wang and Chunsheng Liu, Power harvesting with PZT ceramics and circuits design, Analog Integrated Circuits and Signal Processing, Vol. 62(2), pp 263-268, Feb 2010
166. Baoyong CHI, Li ZHANG, Woogeun Rhee, Zhihua WANG, Hongyi Chen, A 2.4 GHz 6.6 mA fully differential CMOS PLL frequency synthesizer, INTERNATIONAL JOURNAL OF ELECTRONICS, VOL 96(9-10), pp 1039-1056, SEP-OCT 2009
167. Xinkai Chen, Xiaoyu Zhang, Xiaowen LI, Hanjun Jiang, Chun Zhang, Zhihua Wang, An Area and Power Efficient Near-Lossless Image Compressor for Medical Application, CHINESE JOURNAL OF ELECTRONICS, VOL18(3), pp 439-443, JUL 2009
168. Shuilong Huang, Zhihua Wang, Behavioral modeling and simulation of fractional-N frequency synthesizer, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol 59(3), pp: 317-323, JUN 2009
169. Shuguang Han, Baoyong Chi, Zhihua Wang, New implementation of high linear LNA using derivative superposition method, MICROELECTRONICS JOURNAL, Vol 40(1), pp: 197-201, JAN 2009
170. Chen Jia, Hong Chen, Ming Liu, Chun Zhang, Zhihua Wang, Integrated power management circuit for piezoelectronic generator in wireless monitoring system of orthopaedic implants, IET CIRCUITS DEVICES & SYSTEMS, Vol 2(6), pp 485-494, DEC 2008
171. Hanjun Jiang, Lingwei Zhang, Chun Zhang, Zhihua Wang, Wireless switch for implantable medical devices based on passive RF receiver, ELECTRONICS LETTERS, Vol. 44(17), pp.1006-1007, AUG, 2008
172. Shuilong Huang, Zhihua Wang, System design consideration of high-integrated Sigma Delta fractional-N frequency synthesizer, JOURNAL OF CIRCUITS SYSTEMS AND COMPUTERS Vol: 17(2) Pages: 169-181, APR 2008
173. Dongmei Li, Zhi-Hua Wang, Liying Huangfu, Qiujing Gou, Study of total ionizing dose radiation effects on enclosed gate transistors in a commercial CMOS technology, CHINESE PHYSICS, Vol 16(12), pp. 3760-3765, DEC 2007
174. Baoyong Chi, Jinke Yao, Shuguang Han, Xiang Xie, Guolin Li, Zhihua Wang, Low power high data rate wireless endoscopy transceiver, MICROELECTRONICS JOURNAL, Vol. 38(10-11), 1070-1081, OCT-NOV 2007
175. Leibo Liu, Milin Zhang, Hongying Meng, Li Zhang, Zhihua Wang, A VLSI implementation of lifting-based forward and inverse wavelet transform, CHINESE JOURNAL OF ELECTRONICS, Vol.16 (3): 423-428 JUL 2007
176. Baoyong Chi, Jinke Yao, Shuguang Han, Xiang Xie, Guolin Li, Zhihua Wang, A 2.4 GHz low power wireless transceiver analog front-end for endoscopy capsule system, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol. 51 (2): 59-71 MAY 2007
177. Shuilong Huang, Zhihua Wang, Phase self-calibrated scheme for zero-IF receiver, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol. 51 (1): 33-37 APR 2007
178. Shuilong Huang, Zhihua Wang, An improved charge-averaging charge-pump scheme, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol. 51 (1): 45-49 APR 2007
179. Xiaowen Li, Xiang Xie, Guolin Li, Li Zhang, Zhihua Wang, Low-complexity near-lossless image compression method and its application-specific integrated circuit design for a wireless endoscopy capsule system, JOURNAL OF ELECTRONIC IMAGING, Vol. 16 (1): Art. No. 013015 JAN-MAR 2007
180. Xiang Xie, GuoLin Li, ZhiHua Wang, A near-lossless image compression algorithm suitable for hardware design in wireless endoscopy system, EURASIP JOURNAL ON ADVANCES IN SIGNAL PROCESSING, Art. No. 82160 2007
181. Li Zhang, Zhihua Wang, Hongyi Chen, A 5GHz CMOS VCO for IEEE 802.11a WLAN application, CHINESE JOURNAL OF ELECTRONICS, Vol. 16 (1): 66-68 JAN 2007
182. Baoyong Chi, Chun Zhang, Zhihua Wang, A low noise amplifier with automatically Q-tuned notch filter, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol. 50 (2): 159-162 FEB 2007
183. Xiu Jie Jiang, Zhihua Wang, H. X. Sun, X. M. Chen, T. L. Zhao, C. Y. Zhou, G. H. Zhou, L. Zhang, Suitability analysis of commercial off-the-shelf components for space application, PROCEEDINGS OF THE INSTITUTION OF MECHANICAL ENGINEERS PART G-JOURNAL OF AEROSPACE ENGINEERING, Vol. 220 (G5): 357-364 OCT 2006
184. Xiang Xie, Goulin Li, Zhihua Wang, ARQ scheme with adaptive block size for bidirectional wireless endoscopy system, IEE PROCEEDINGS-COMMUNICATIONS, Vol. 153 (5): 611-618 OCT 2006
185. Ruifeng Liu, Yongming Li, Hongyi Chen, Zhihua Wang, EVM estimation Baoyong analyzing transmitter imperfections mathematically and graphically, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol. 48 (3): 257-262 SEP 2006
186. Xiang Xie, Guo-Lin- Li, Zhi-Hua Wang, Low-complexity and high-efficiency image compression algorithm for wireless endoscopy system, JOURNAL OF ELECTRONIC IMAGING, Vol. 15 (2): Art. No. 023017 APR-JUN 2006
187. BAOYONG Chi, BX Shi, Zhihua Wang, A low voltage CMOS RF front-end for IEEE 802.11b WLAN transceiver, ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, Vol. 48 (2): 67-77 AUG 2006
188. Shuguang Han, BAOYONG Chi, Zhihua Wang, Phase noise analysis in CMOS LC quadrature VCO, CHINESE JOURNAL OF ELECTRONICS, Vol. 15 (2): 209-212 APR 2006
189. Xiang Xie, Guolin Li, Zhihua Wang, A low-complexity and high-quality image compression method for digital cameras, ETRI JOURNAL, Vol. 28 (2): 260-263 APR 2006
190. Xiujie Jiang, HX Sun, XM Chen, Zhihua Wang, L Zhang, DX Wang, Utilization of a COTS component in temperature measurement system for microgravity fluid experiment on SZ-4 spaceship, AIRCRAFT ENGINEERING AND AEROSPACE TECHNOLOGY, Vol. 78 (1): 45-49 2006
191. Hongmei Wang, Fule Li, Guolin Li, Zhihua Wang, Resolution analysis of the first stage in the high precision pipelined ADCs, CHINESE JOURNAL OF ELECTRONICS, Vol. 15 (1): 47-50 JAN 2006
192. Xiujie Jiang, HX Sun, XM Chen, Zhihua Wang, L Zhang, DX Wang, YQ Liu, Electric control system for microgravity fluid experiment on SZ-4 spaceship, ACTA ASTRONAUTICA, Vol. 58 (1): 38-43 JAN 2006
193. Leibo Liu, N Chen, C Zhang, HY Meng, L Zhang, Zhihua Wang, HY Chen, An ASIC implementation of JPEG2000 encoder, CHINESE JOURNAL OF ELECTRONICS, Vol. 14 (4): 603-608 OCT 2005
194. Zihong Liu, Zhihua Wang, Guolin Li, ZP Yu, A novel solid neuron-network chip based on both biological and artificial neural network theories, ADVANCES IN NEURAL NETWORKS - ISNN 2005, PT 1, PROCEEDINGS LECTURE NOTES IN COMPUTER SCIENCE 3496: 479-484 2005
195. G Chen, HH Feng, HL Xie, RY Zhan, Q Wu, XK Guan, A Wang, K Takasuka, S Tamura, Zhihua Wang, C Zhang, Characterizing diodes for RF ESD protection, IEEE ELECTRON DEVICE LETTERS, Vol. 25 (5): 323-325 MAY 2004
196. HY Meng, Zhihua Wang, Fast spatial combinative lifting algorithm of wavelet transform using the 9/7 filter for image block compression, ELECTRONICS LETTERS, Vol. 36 (21): 1766-1767 OCT 12 2000
197. G Gielen, Z Wang, W Sansen, Optimal fault detection for analogue circuits under manufacturing tolerances, ELECTRONICS LETTERS, Vol. 32 (1): 33-34 JAN 4 1996
非SCI收录期刊论文 – (247)
(a) 国际期刊 – (1)
1. Binglin Gu, Zhihua Wang, Masao Fukuma, Muneo Fukaishi, Technology Challenges for a “Symbiotic Society–Toward a Post-Ubiquitous Era, IEEE SOLID-STATE CIRCUITS MAGAZINE, Vol. 2(3), pp.84-86, July, 2010
(b) 中国英文期刊 – (67)
2. 吕方旭, 王建业, 郑旭强, 王自强, 贺娅君, 丁浩, 刘勇聪, 张春, 王志华, A 40 Gb/s SerDes Transceiver Chip with Controller and PHY in a 65nm CMOS Technology, 哈尔滨工业大学学报(新系列), 2017, 24(6)
3. 何秀菊, 谷宪, 李玮韬, 姜汉钧, 李福乐, 王志华, An 11-bit 200 MS/s subrange SAR ADC with low-cost integrated reference buffer, 半导体学报(英文版), 2017, 38(10): 105007-1-6
4. 王志华, 黑勇, 朱樟明, Preface to the Special Topic on Devices and Circuits for Wearable and IoT Systems, 半导体学报(英文版), 2017, 38(10): 101001-1
5. 郑旭强, 李福乐, 王志军, 李玮韬, 贾雯, 王志华, 岳士岗, An S/H circuit with parasitics optimized for IF-sampling, 半导体学报(英文版), 2016, 37(6): 065005-1-5
6. 池保勇, 宋政, 况立雪, 贾海昆, 孟祥雨, 王志华, CMOS mm-wave transceivers for Gbps wireless communication, 半导体学报(英文版), 2016, 37(7): 071001-1-11
7. 王亚, 薛春莹, 李福乐, 张春, 王志华, A low power 11-bit 100 MS/s SAR ADC IP, 半导体学报(英文版), 2015, 36(2): 025003-1-5
8. 彭琪, 张春, 赵西金, 王志华, A UHF RFID system with on-chip-antenna tag for short range communication, 半导体学报(英文版), 2015, 36(5): 055008-1-7
9. 周佳, 许丽丽, 李福乐, 王志华, A 10-bit 120-MS/s pipelined ADC with improved switch and layout scaling strategy, 半导体学报(英文版), 2015, 36(8): 085008-1-5
10. 俞小宝, 韩思阳, 靳宗明, 王志华, 池保勇, A class-CVCO based Σ–Δ fraction-N frequency synthesizer with AFC for 802.11ah applications, 半导体学报(英文版), 2015, 36(9): 095003-1-6
11. 韩思扬, 池保勇, 张欣旺, 王志华, A power scalable PLL frequency synthesizer for high-speed Δ–Σ ADC, 半导体学报(英文版), 2014, 35(8): 132-137
12. 石匆, 陈哲, 杨杰, 吴南健, 王志华, A compact PE memory for vision chips, 半导体学报(英文版), 2014, 35(9): 108-114
13. 李敏增, 李福乐, 张春, 王志华, Pixel-level A/D conversion using voltage reset technique, 半导体学报(英文版), 2014, 35(11): 153-157
14. 朱伟, 池保勇, 况立雪, 贾雯, 王志华, An inductorless CMOS programmable-gain amplifier with a >3 GHz bandwidth for 60 GHz wireless transceivers, 半导体
学报(英文版), 2014, 35(10): 89-94
15. 况立雪, 池保勇, 陈磊, 贾雯, 王志华, A fully-differential phase-locked loop frequency synthesizer for 60-GHz wireless communication, 半导体学报(英文版), 2014, 35(12): 66-71
16. 许宁, 李福乐, 张春, 王志华, An IP-oriented 11-bit 160 MS/s 2-channel current-steering DAC, 半导体学报(英文版), 2014, 35(12): 127-131
17. 余萌, 吴礼鹏, 李福乐, 王志华, An 8 bit 12 MS/s asynchronous successive approximation register ADC with an on-chip reference, 半导体学报(英文版), 2013, 34(2): 113-117
18. 张凌炜, 池保勇, 祁楠, 刘力源, 姜汉钧, 王志华, A lower power reconfigurable multi-band transceiver for short-range communication, 半导体学报(英文版), 2013, 34(3): 105-111
19. 魏建军, 姜汉钧, 张凌伟, 董晶晶, 李福乐, 王志华, 张春, A wide range sigma-delta fractional-N frequency synthesizer with adaptive frequency calibration, 半导体学报(英文版), 2013, 34(6): 97-101
20. 孟祥雨, 池保勇, 贾海昆, 况立雪, 贾雯, 王志华, A wideband on-chip millimeter-wave patch antenna in 0.18 μm CMOS, 半导体学报(英文版), 2013, 34(10): 148-152
21. 周凯敏, 王自强, 张春, 王志华, A 2.5 mW 370 mV/pF high linearity stray-immune symmetrical readout circuit for capacitive sensors, 半导体学报(英文版), 2012, 33(6): 51-55
22. 续阳, 池保勇, 徐阳, 祁楠, 王志华, A 2-mW 50-dB DR wideband hybrid AGC for a GNSS receiver in 65 nm CMOS, 半导体学报(英文版), 2012, 33(7): 94-101
23. 王少鹏, 任彦楠, 李福乐, 王志华, A 400-MS/s 12-bit current-steering D/A converter, 半导体学报(英文版), 2012, 33(8): 112-116
24. 邵健健, 李玮韬, 孙操, 李福乐, 张春, 王志华, A digital background calibration algorithm of a pipeline ADC based on output code calculation, 半导体学报(英文版), 2012, 33(11): 110-114
25. 孙旭光, 张春, 高立力, 李永明, 王志华, Modeling of a Schottky Diode in CMOS Process with a Flexible “Open-Through” On-Chip De-embedding Method, 清华大学学报自然科学版(英文版), 2011, 16(2): 175-180
26. 李玮韬, 李福乐, 郭丹丹, 张春, 王志华, An undersampling 14-bit cyclic ADC with over 100-dB SFDR, 半导体学报(英文版), 2010, 31(2): 025008-1-6
27. 刘力源, 陈良栋, 李冬梅, 王志华, 魏少军, A 1.1 mW 87 dB dynamic range △Σ modulator for audio applications, 半导体学报(英文版), 2010, 31(5): 78-84
28. 童瑫, 池保勇, 王自强, 张莹, 姜汉钧, 王志华, A reconfigurable analog baseband circuit for WLAN,WCDMA,and Bluetooth, 半导体学报(英文版), 2010, 31(5): 121-125
29. 刘忠奇, 张春, 李永明, 王志华, A current-mode voltage regulator with an
embedded sub-threshold reference for a passive UHF RFID transponder, 半导体学报(英文版), 2010, 31(6): 105-108
30. 刘鸣, 陈虹, 李长猛, 王志华, An ultra-low-power 1 kb sub-threshold SRAM in the 180 nm CMOS process, 半导体学报(英文版), 2010, 31(6): 144-147
31. 刘力源, 李冬梅, 陈良栋, 张春, 魏少军, 王志华, A low power 8-bit successive approximation register A/D for a wireless body sensor node, 半导体学报(英文版), 2010, 31(6): 93-97
32. 王敬超, 张春, 王志华, A fully integrated UHF RFID reader SoC for handheld applications in the 0.18 μm CMOS process, 半导体学报(英文版), 2010, 31(8): 126-131
33. 陈昊, 刘力源, 李冬梅, 张春, 王志华, A 12-bit current steering DAC with 2-dimensional gradient-error tolerant switching scheme, 半导体学报(英文版), 2010, 31(10): 104-109
34. 蔡小波, 李福乐, 张春, 王志华, A 12 bit 100 MS/s pipelined analog to digital converter without calibration, 半导体学报(英文版), 2010, 31(11): 100-104
35. 刘鸣, 陈虹, 张春, 李长猛, 王志华, A light-powered sub-threshold microprocessor, 半导体学报(英文版), 2010, 31(11): 72-77
36. 刘伟, 李永明, 张春, 王志华, 用于RFID标签的自适应低压电流模ASK解调器(英文), 半导体技术, 2009, 34(1): 10-13+91
37. 朱秋玲, 张春, 王晓辉, 刘忠奇, 李永明, 王志华, 低功耗UHF RFID标签基带处理器的ASIC实现(英文), 半导体技术, 2009, 34(2): 172-176
38. 郭丹丹, 李福乐, 张春, 王志华, A 13-bit, 8 MSample/s pipeline A/D converter, 半导体学报(英文版), 2009, 30(2): 69-73
39. 贾晨, 郝文瀚, 陈虹, 张春, 王志华, A low power bandgap reference with buffer working in the sub-threshold region for energy harvesting systems, 半导体学报(英文版), 2009, 30(7): 145-149
40. 陈怡, 李福乐, 陈虹, 张春, 王志华, A low power cyclic ADC design for a wireless monitoring system for orthopedic implants, 半导体学报(英文版), 2009, 30(8): 147-152
41. 姚国钦, 池保勇, 张春, 王志华, A dual-band reconfigurable direct-conversion receiver RF front-end, 半导体学报(英文版), 2009, 30(9): 91-95
42. 王敬超, 张春, 池保勇, 王自强, 李福乐, 王志华, A low cost integrated transceiver for mobile UHF passive RFID reader applications, 半导体学报(英文版), 2009, 30(9): 86-90
43. 郝文瀚, 贾晨, 陈虹, 张春, 王志华, A variable step-down conversion ratio switched capacitor DC-DC converter for energy harvesting systems working in intermittent mode, 半导体学报(英文版), 2009, 30(12): 103-107
44. 麦宋平, Design and implementation of a DSP with multi-level low power strategies for cochlear implants, 高技术通讯(英文版), 2009, 15(2): 141-146
45. 幸新鹏, 李冬梅, 王志华, 一个电压接近1V10ppm/℃带曲率补偿的CMOS带隙基准源(英文), 半导体学报, 2008, 29(1): 24-28
46. 李福乐, 段静波, 王志华, 一个高线性13位流水线CMOS A/D转换器(英文), 半导体学报, 2008, 29(3): 497-501
47. 刘忠奇, 孙旭光, 白蓉蓉, 张春, 李永明, 王志华, 一种NCITS 256协议超高频无源射频识别标签(英文), 半导体学报, 2008, 29(4): 719-723
48. 高同强, 张春, 池保勇, 王志华, 一种用于便携式射频识别阅读器的CMOS功率放大器(英文), 半导体学报, 2008, 29(6): 1044-1047
49. 幸新鹏, 李冬梅, 王志华, 一个新型CMOS电流模带隙基准源(英文), 半导体学报, 2008, 29(7): 1249-1253
50. 麦宋平, 张春, 晁军, 王志华, 一种带植入式数字信号处理器的新型人工耳蜗系统(英文), 半导体学报, 2008, 29(9): 1745-1752
51. 陈新凯, 姜汉钧, 王志华, 一种用于电池供电的无线内视镜系统的片上集成的电源管理单元(英文), 半导体学报, 2008, 29(11): 2245-2251
52. 谢翔, 李国林, 王志华, A Low Complexity and High Efficient Method for Image Compression with Bayer CFAs, 清华大学学报自然科学版(英文版), 2007, (1): 22-29
53. 李冬梅, 皇甫丽英, 勾秋静, 王志华, 版图结构对MOS器件总剂量辐照特性的影响(英文), 半导体学报, 2007, 28(2): 171-175
54. 黄水龙, 王志华, 一种通用的可编程双模分频器(英文), 北京大学学报( 自然科学版), 2007, 43(1): 109-112
55. 姚金科, 池保勇, 王志华, 一个新型的带自动增益控制环路的低功耗ASK接收机(英文), 半导体学报, 2007, 28(3): 337-341
56. 宁彦卿, 池保勇, 王志华, 陈弘毅, 频率覆盖3.2~6.1GHz的CMOS LC VCO(英文), 半导体学报, 2007, 28(4): 526-529
57. 宁彦卿, 王志华, 陈弘毅, 超宽频带VHF频段CMOS LC VCO(英文), 半导体学报, 2006, 27(1): 14-18
58. 韩书光, 池保勇, 王志华, 一种应用于低压CMOS差分放大器的失调取消技术(英文), 半导体学报, 2006, 27(5): 778-782
59. 韩书光, 池保勇, 王志华, 一种应用于无线内窥镜的2.4GHz低功耗ASK发射机(英文), 半导体学报, 2006, 27(6): 988-993
60. 刘瑞峰, 李永明, 陈弘毅, 王志华, 2.4GHz零中频接收机中正交相位的自校准设计(英文), 半导体学报, 2006, 27(9): 1531-1536
61. 张利, 池保勇, 姚金科, 王志华, 陈弘毅, 2GHz低功耗差分控制的CMOS单片LC压控振荡器(英文), 半导体学报, 2006, 27(9): 1543-1547
62. 张利, 池保勇, 姚金科, 王志华, 陈弘毅, 应用于2 Mb/s GMSK调制的CMOS低功耗全差分Sigma-Delta频率综合器(英文), 半导体学报, 2006, 27(12): 2106-2111
63. 刘璐, 王志华, 一种新的射频CMOS混频器结构(英文), 半导体学报, 2005, 26(5): 877-880
64. 韩书光, 池保勇, 王志华, 一种相位准确度高幅值失配度低的正交LO驱动电路(英文), 半导体学报, 2005, 26(7): 1295-1300
65. 王帅旗, 李福乐, 王志华, 张天义, 一种曲率补偿CMOS带隙基准源(英文), 微电子学, 2005, 35(5): 531-533
66. 池保勇, 朱晓雷, 王自强, 王志华, 使用新注入锁定技术的低相位噪声正交振荡器(英文), 半导体学报, 2005, 26(9): 1705-1710
67. 池保勇, 石秉学, 王志华, 应用于IEEE802.11b无线局域网系统的2.4GHz CMOS单片收发机射频前端(英文), 半导体学报, 2005, 26(9): 1731-1739
68. 谢翔, 李国林, 张春, 王志华, 脑-机交互控制中脑神经电信号提取、传输与控制植入式系统的设计方案(英文), 中国临床康复, 2005, 9(4): 250-253
(c) 中国中文期刊 – (179)
69. 张昕源, 高绍全, 姜汉钧, 王志华, 基于G.726的语音无线采集芯片发射机基带电路设计, 微电子学与计算机, 2018, 35(1): 31-40
70. 周丁华, 姜汉钧, 王月娟, 吕晓娟, 王志华, 应用于植入式医疗器械的低功耗控制器设计, 中国医疗设备, 2017, 32(3): 18-21+72
71. 陈静, 姜汉钧, 徐乃昊, 王志华, 运动传感器辅助的心电运动伪迹识别与消除方法, 传感器与微系统, 2016, 35(1): 49-51+55
72. 周丁华, 姜汉钧, 王月娟, 吕晓娟, 王志华, 一种极低功耗胶囊内窥镜的设计与应用, 中国医疗设备, 2016, 31(2): 52-55
73. 周丁华, 姜汉钧, 王月娟, 吕晓娟, 王志华, 一款胶囊内窥镜电源管理单元的设计与实现, 现代仪器与医疗, 2016, 22(1): 10-12
74. 周丁华, 姜汉钧, 王月娟, 吕晓娟, 王志华, 胶囊内窥镜系统图像压缩算法设计及实现, 中国医学装备, 2016, 13(2): 5-9
75. 周丁华, 姜汉钧, 王月娟, 吕晓娟, 王志华, 基于ECS1020芯片的射频收发机的设计与实现, 医疗卫生装备, 2016, 37(2): 1-3+23
76. 徐乃昊, 姜汉钧, 王湾, 王自强, 王志华, 一种用于心电/脑电采集的电流反馈放大器, 微电子学, 2016, 46(1): 25-28
77. 周丁华, 姜汉钧, 王月娟, 吕晓娟, 王志华, 面向未来战场的胶囊式内窥镜系统的设计与开发, 医疗卫生装备, 2016, 37(3): 27-29
78. 夏靖沛, 姜汉钧, 刘恒, 池喆翔, 王志华, 周丁华, 闫涛, 一种用于消化道酸碱度监测的传感器接口芯片, 微电子学, 2016, 46(2): 233-238
79. 王湾, 姜汉钧, 徐乃昊, 李冬梅, 王志华, 用于心电采集的动态调整低功耗24位Δ-Σ ADC, 微电子学, 2016, 46(2): 150-154
80. 陈平, 张春, 张一山, 姜汉钧, 王志华, DDR2 SDRAM控制器IP功能测试与FPGA验证, 微电子学, 2016, 46(2): 251-254
81. 郑婧怡, 高绍全, 姜汉钧, 张春, 王志华, 贾雯, 带频偏校准的GMSK解调器设计与实现, 电子技术应用, 2016, 42(6): 101-104
82. 张羊, 姜汉钧, 王志华, 一种双门限语音端点检测算法的ASIC实现, 微电子学与计算机,
2016, 33(11): 69-73
83. 刘小峰, 刘铛, 李宇根, 王志华, 应用于超宽带收发机的多相时钟生成器的设计, 微电子学与计算机, 2016, 33(11): 87-90+94
84. 曹卫东, 侯晨龙, 郭金星, 宋奕霖, 王自强, 姜汉钧, 王志华, 20 GHz压控振荡器的设计与实现, 微电子学, 2015, 45(5): 577-580
85. 赵宇佳, 姜汉钧, 张羊, 王志华, 一种超低功耗高精度温度传感器芯片设计, 微电子学与计算机, 2015, 32(12): 40-43
86. 李佐昭, 张春, 谢拓, 王志华, 基于软件无线电的无线信号记录仪, 微电子学与计算机, 2014, 31(12): 123-127
87. 刘宇韬, 李宇根, 王志华, 一种基于GRO TDC的高精度片上电源噪声监测方法, 微电子学, 2014, 44(3): 398-402
88. 闫涛, 周丁华, 王志华, 吕伟, 姜汉钧, 张建华, 谢翔, 赵玮, 王国经, 基于极低功耗数模混合集成电路芯片的智能型胶囊式内窥镜检查系统的研制, 中国医学装备, 2013, 10(12): 17-19
89. 唐琪, 王湾, 杨开, 姜汉钧, 张春, 王志华, 基于“创可贴”式心电图仪的心率算法的实现, 电子设计工程, 2013, 21(20): 137-140
90. 邵明坤, 池保勇, 贾海昆, 王志华, 用于77GHz毫米波雷达的模拟基带电路设计, 微电子学, 2013, 43(1): 14-18
91. 曹华敏, 刘鸣, 陈虹, 郑翔, 王聪, 王志华, 适用于编译器的高速SRAM阵列及外围设计, 微电子学, 2013, 43(1): 90-93
92. 刘双龙, 张春, 黄钰, 王志华, 一种基于内插采样的时差测量与基站同步技术, 电路与系统学报, 2013, 18(2): 173-177
93. 穆帅, 单书畅, 邓仰东, 王志华, 基于PCM的GPU存储系统设计与优化, 计算机科学, 2013, 40(10): 29-31+71
94. 张春, 张铖, 王佳, 王志华, 基于软件无线电的RFID测试平台, 仪器仪表学报, 2012, 33(8): 332-338
95. 万维逸, 陈虹, 刘鸣, 唐竟, 张春, 王志华, 人工膝关节置换术中压力平衡测量装置, 传感器与微系统, 2011, 30(2): 142-144
96. 邓立玮, 姜汉钧, 池保勇, 王志华, 用于大载波频偏的MSK数字解调器, 微电子学, 2011, 41(6): 810-814
97. 刘敬辉, 周波, 李宇根, 王志华, 基于多相子载波生成和高增益射频振荡器的高数据率超宽带调频发射机, 微电子学, 2011, 41(6): 780-784
98. 刘鸣, 陈虹, 贾晨, 王志华, 亚阈值32位数据通路设计, 清华大学学报(自然科学版), 2010, 50(1): 9-12
99. 王志华, 张哓昱, 张凌伟, 张春, 麦宋平, 谢翔, 姜汉钧, 池保勇, 集成电路技术在医疗健康领域的应用, 中国集成电路, 2010, 19(3): 12-23
100. 左玉多, 池保勇, 王志华, 基于注入锁定的RF低功耗正交本振信号产生电路, 微电子学, 2010, 40(6): 819-822
101. 马长明, 张春, 李永明, 王志华, 一种适用于UHF频段无源RFID应用的低功耗电
源恢复和OOK解调技术, 电路与系统学报, 2009, 14(3): 12-16
102. 陈新凯, 张晓昱, 姜汉钧, 王志华, 用于无线内视镜系统的基带处理专用集成电路, 清华大学学报(自然科学版), 2009, 49(7): 978-981
103. 陈曦, 王自强, 张春, 王志华, 带有DCOC结构的中频可编程增益放大器, 半导体技术, 2009, 34(10): 1041-1045
104. 陈虹, 贾晨, 刘鸣, 王志华, 人工关节内锆钛酸铅压电陶瓷供能与电路设计, 清华大学学报(自然科学版), 2008, 48(1): 128-131+136
105. 李福乐, 段静波, 王志华, 一种用于降低电容失配误差的电容选择配对技术, 电子学报, 2008, 36(2): 338-341
106. 幸新鹏, 李冬梅, 王志华, CMOS带隙基准源研究现状, 微电子学, 2008, 38(1): 57-63+71
107. 王海峰, 王敬超, 张春, 王志华, 一种超高频RFID读写器设计, 微计算机信息, 2008, 24(3-2): 233-235+221
108. 陈虹, 贾晨, 刘鸣, 王志华, 植入关节内压电陶瓷供能研究, 压电与声光, 2008, 30(1): 96-99
109. 骆元舒, 张春, 王志华, ISO/IEC18000-6B标签逻辑电路低面积设计, 半导体技术, 2008, 33(4): 352-355
110. 李敏, 王敬超, 张春, 李永明, 王志华, 扩频技术在射频识别系统中的应用, 微计算机信息, 2008, 24(4-2): 209-211
111. 杨昆, 张春, 王志华, H.264基本档次码流解析的专用处理器设计, 电视技术, 2008, 32(2): 27-29
112. 谢维夫, 李永明, 张春, 王志华, 一种780~930MHz低功耗LCVCO的设计, 微电子学, 2008, 38(3): 407-410
113. 高天宝, 王敬超, 张春, 李永明, 王志华, 超高频RFID读写器调制解调模块的设计, 半导体学报, 2008, 29(7): 1403-1406
114. 谢维夫, 李永明, 张春, 王志华, 一种900MHz RFID读卡器中的高性能CMOS频率综合器, 半导体学报, 2008, 29(8): 1595-1601
115. 谢元禄, 张春, 王志华, 基于SMPTE 272M标准的音频加解嵌系统设计, 电视技术, 2008, 32(7): 20-23+33
116. 杨昆, 张春, 王志华, H.264/AVC亮度插值算法优化以及电路实现, 电视技术, 2008, 32(8): 28-30
117. 高天宝, 王敬超, 张春, 李永明, 王志华, 便携式RFID读写器的设计与实现, 电子技术应用, 2008, (5): 56-58
118. 宁彦卿, 王志华, 陈弘毅, CMOS LC VCO中交叉耦合MOS管的结构和特点, 半导体技术, 2007, 32(1): 21-25
119. 孙旭光, 张春, 李永明, 王志华, 陈弘毅, 超高频无源RFID标签的一些关键电路的设计, 中国集成电路, 2007, (1): 29-35
120. 谢翔, 李国林, 王志华, 用于无线内窥镜系统的高效、低复杂度的准无损和无损压缩算法, 电子与信息学报, 2007, 29(3): 616-621
121. 王志华, SOC集成电路研究的若干问题——ISSC介绍, 中国集成电路, 2007, (2): 17-20
122. 宁彦卿, 王志华, 陈弘毅, 伪工作点与超宽带CMOS LC VCO设计, 电子器件, 2007, 30(2): 349-352
123. 谢翔, 李国林, 张春, 王志华, 一种双向、数字式微型无线内窥镜系统设计, 固体电子学研究与进展, 2007, 27(1): 123-129
124. 王敬超, 张春, 李永明, 王志华, 实时谱分析方法在射频识别技术中的应用, 电路与系统学报, 2007, 12(2): 124-126
125. 蔺蓉, 李国林, 李冬梅, 李晓雯, 陈新凯, 谢翔, 王志华, 无线内窥镜系统胶囊内数字芯片的后端设计, 微电子学与计算机, 2007, 24(4): 9-11
126. 李冬梅, 王志华, 皇甫丽英, 勾秋静, 雷有华, 李国林, NMOS晶体管高剂量率下总剂量辐照特性研究, 电子器件, 2007, 30(3): 748-751
127. 黄水龙, 王志华, 快速建立时间的自适应锁相环, 电子与信息学报, 2007, 29(6): 1492-1495
128. 张晓昱, 谢翔, 李国林, 王志华, 一种用于无线内窥镜系统的基带信道控制器, 微电子学, 2007, 37(3): 382-385+389
129. 麦宋平, 张春, 杨昆, 王志华, 基于开放源代码的硬件设计方法研究, 电子与信息学报, 2007, 29(7): 1761-1764
130. 王振华, 张春, 李永明, 王志华, 用于2.4GHz射频识别的硅基集成小环天线的仿真与设计, 微波学报, 2007, 23(3): 24-28
131. 白蓉蓉, 李永明, 张春, 王志华, 一种低功耗高动态范围的915MHz无源射频标签, 半导体学报, 2007, 28(8): 1316-1319
132. 李冬梅, 皇甫丽英, 王志华, 勾秋静, 不同设计参数MOS器件的γ射线总剂量效应, 原子能科学技术, 2007, 41(5): 522-526
133. 李晓雯, 陈新凯, 李国林, 王志华, 低功耗全流水线JPEG-LS无损图像编码器的VLSI设计, 清华大学学报(自然科学版), 2007, 47(10): 1654-1657
134. 高同强, 池保勇, 王志华, 采用CMOS E类放大器实现功率控制的方法, 清华大学学报(自然科学版), 2007, 47(10): 1669-1672
135. 陈虹, 刘鸣, 贾晨, 张春, 王志华, 一种低功耗数字式人工关节无线监视系统, 微电子学, 2007, 37(5): 717-720+725
136. 白蓉蓉, 李永明, 张春, 王志华, 一种用于射频标签的新型低压低功耗ASK解调器, 微电子学, 2007, 37(6): 790-793
137. 杨靖, 马槐楠, 李永明, 王志华, 直接数字射频调制器的实现, 微电子学与计算机, 2007, 24(11): 145-146+151
138. 杜国泽, 张春, 杨昆, 王志华, H.264中反变换反量化模块的硬件实现, 电视技术, 2007, 31(8): 23-25
139. 宁彦卿, 王志华, 陈弘毅, 利用Van der Pol方程分析MOS LC差分振荡器, 微电子学, 2006, 36(1): 4-8
140. 李福乐, 王红梅, 李冬梅, 王志华, 低功耗13 b 10~7样品/s模数转换器, 清华大
学学报(自然科学版), 2006, 46(1): 115-118
141. 赵岩, 张果, 张春, 王志华, 一种扩展的片上实时调试系统设计, 计算机工程, 2006, 32(8): 283-285
142. 王自强, 池保勇, 王志华, 低功耗、高线性CMOS可编程放大器, 清华大学学报(自然科学版), 2006, 46(4): 519-522
143. 黄水龙, 王志华, 马槐楠, 一个自调谐,自适应的1.9GHz分数/整数频率综合器, 电子学报, 2006, 34(5): 769-773
144. 黄水龙, 王志华, 一种新的锁相环自调谐方案, 微电子学, 2006, 36(4): 446-449+466
145. 高同强, 池保勇, 王敬超, 马长明, 张春, 王志华, CMOS功率放大器在射频识别技术中的应用概述, 微电子学, 2006, 36(4): 450-455
146. 麦宋平, 张春, 杨昆, 王志华, 一个嵌入式多媒体应用平台的设计与实现, 微电子学, 2006, 36(4): 510-513
147. 张利, 吴恩德, 王志华, 陈弘毅, 具有自动振幅控制的CMOS压控振荡器, 清华大学学报(自然科学版), 2006, 46(7): 1337-1340
148. 谢将相, 杨昆, 张春, 王志华, H.264/AVC中去块效应滤波系统的结构设计, 电视技术, 2006, (7): 28-30+34
149. 肖珺, 李永明, 王志华, 低功耗CMOS低噪声放大器的设计, 微电子学, 2006, 36(5): 670-673+678
150. 姚金科, 池保勇, 王志华, 一个适用于无线内窥镜系统的ASK中频接收机电路, 半导体学报, 2006, 27(11): 2046-2050
151. 姚金科, 吴恩德, 池保勇, 王志华, 具有片上频率自动调谐功能的4MHz Gm-C滤波器, 电子学报, 2006, 34(11): 2076-2080
152. 袁炜, 张春, 王志华, 超高频无源射频标签的射频接口设计, 微电子学, 2006, 36(6): 817-819+824
153. 杜定坤, 李永明, 王志华, Tan Seeteck, 一种4 MHz复数滤波器及其自动调谐系统的设计, 微电子学, 2006, 36(6): 820-824
154. 王振华, 张利, 张春, 李永明, 王志华, 用于UHF射频识别的时钟电路和调制电路, 微电子学与计算机, 2006, 23(12): 65-67+71
155. 王红梅, 李福乐, 李冬梅, 王志华, 一种流水线结构A/D转换器的速度分析方法, 电子科技大学学报, 2006, 35(6): 913-916
156. 黄水龙, 王志华, 一种改进的高性能全差分电荷泵设计, 电子器件, 2006, 29(4): 1053-1057
157. 姜秀杰, 王志华, 孙辉先, 辛敏成, 星载商用塑封器件存在的问题及其对策, 电子器件, 2006, 29(4): 1363-1366+1371
158. 刘璐, 王志华, 李国林, Volterra级数在高频跨导线性度分析中的应用, 固体电子学研究与进展, 2006, 26(4): 490-493+509
159. 吴恩德, 姚金科, 王志华, 具有片上数字控制频率调谐的9MHz有源RC滤波器, 半导体学报, 2005, 26(6): 1250-1254
160. 罗昊, 王志华, 嵌入式系统数据共享存储器的实现, 电子工程师, 2005, 31(6): 53-55+58
161. 谢翔, 李国林, 陈新凯, 张春, 王志华, 一种数字式微型无线内窥镜系统, 电子技术应用, 2005, 31(6): 26-28
162. 黄水龙, 王志华, CMOS分数频率综合器设计技术, 微电子学, 2005, 35(4): 394-399
163. 赵伟, 刘雷波, 张利, 王志华, 谢时根, 基于JPEG2000编解码芯片的高清晰数字图像监控系统, 微电子学与计算机, 2005, 22(6): 113-115+119
164. 陈新凯, 谢翔, 秦豫, 李国林, 王志华, 无线内窥镜专用芯片的FPGA验证及相关测试, 半导体技术, 2005, 30(9): 46-49
165. 池保勇, 朱晓雷, 黄水龙, 王志华, 使用三位三阶ΔΣ调制器的集成1GHz小数频率合成器, 电子学报, 2005, 33(8): 1492-1496
166. 李福乐, 王红梅, 李冬梅, 王志华, 一种用于流水线模数转换器的电容失配校准方法, 半导体学报, 2005, 26(9): 1838-1842
167. 刘璐, 王志华, CMOS混频器的设计技术, 电子器件, 2005, 28(3): 500-504
168. 胡学良, 张春, 王志华, 开方运算单元的高层次综合设计, 微电子学与计算机, 2005, 22(8): 36-38
169. 黄水龙, 王志华, 自调谐VCO频段选择技术比较与设计, 半导体技术, 2005, 30(10): 67-70+85
170. 张果, 赵岩, 张春, 王志华, 皇甫丽英, 宁彦卿, 胡学良, 短信终端的SOC设计及系统实现, 半导体技术, 2005, 30(10): 75-77
171. 李福乐, 王志华, 王红梅, 一种低功耗高精度模数转换器的设计, 中国集成电路, 2005, (11): 32-35+59
172. 谢翔, 李国林, 池保勇, 王志华, 李冬梅, 陈新凯, 李晓雯, 韩书光, 姚金科, 双向、数字化的微型无线内窥镜胶囊内数模混合电路设计, 清华大学学报(自然科学版), 2005, 45(10): 74-78
173. 罗昊 , 王志华, 信息查询终端触摸屏噪声分析及对策, 微计算机信息, 2005, 21(11-2): 138-139+79
174. 王自强, 池保勇, 王志华, CMOS可变增益放大器设计概述, 微电子学, 2005, 35(6): 612-617
175. 胡学良, 张春, 王志华, JTAG技术的发展和应用综述, 微电子学, 2005, 35(6): 624-630
176. 刘璐, 王志华, 2GHz下变频混频器的设计与实现, 微电子学, 2005, 35(6): 631-633
177. 周琳, 李冬梅, 王志华, 一种适用于数字音频的Δ-ΣD/A转换器, 微电子学, 2005, 35(6): 639-642+646
178. 王自强, 池保勇, 王志华, CMOS宽带可变增益放大器, 半导体学报, 2005, 26(12): 2401-2406
179. 姜秀杰, 孙辉先, 王志华, 张利, 商用器件的空间应用需求、现状及发展前景, 空间
科学学报, 2005, 25(1): 76-80
180. 袁玉湘, 李国林, 张春, 李冬梅, 谢翔, 于国霞, 刘俊华, 褚子乔, 王志华, 433MHz/900MHz楼内楼间无线信道模型, 清华大学学报(自然科学版), 2005, 45(1): 44-47
181. 姜秀杰, 孙辉先, 王志华, 张利, 航天电子系统中电子元器件选用的途径分析, 电子器件, 2005, 28(1): 38-43
182. 王自强, 池保勇, 林敏, 韩书光, 刘璐, 姚金科, 王志华, L波段数字声广播接收机CMOS集成模拟前端, 电子学报, 2005, 33(2): 254-257
183. 李本靖, 李福乐, 李冬梅, 王志华, 一种用于X光成像的CMOS模拟△-Σ调制器, 微电子学, 2005, 35(1): 89-92
184. 谢翔, 张春, 王志华, 生物医学中的植入式电子系统的现状与发展, 电子学报, 2004, 32(3): 462-467
185. 于国霞, 张春, 袁玉湘, 谢翔, 刘俊华, 乔凡, 李国林, 王志华, 基于SOC的无线自动抄表系统设计, 电测与仪表, 2004, 41(3): 29-32+56
186. 吴恩德, 王志华, 张利, 李本靖, 罗昊, 分数N频率综合器的杂散分析, 清华大学学报(自然科学版), 2004, 44(7): 958-961
187. 王自强, 张春, 王志华, 无线接收机结构设计, 微电子学, 2004, 34(4): 455-459
188. 王自强, 王志华, CMOS高线性变增益放大器, 半导体技术, 2004, 29(11): 65-67+60
189. 池保勇, 石秉学, 王志华, 射频锁相环型频率合成器的CMOS实现, 电子学报, 2004, 32(11): 1761-1765
190. 王自强, 张春, 王志华, 基于ADS的无线接收机数模混合系统级仿真, 微电子学, 2004, 34(6): 628-630
191. 黎骅, 李冬梅, 李福乐, 王志华, 一种用于过采样Σ-ΔA/D转换器的抽取滤波器, 微电子学, 2004, 34(6): 678-681
192. 刘雷波, 李德建, 孟鸿鹰, 张利, 王志华, 陈弘毅, 夏宇闻, JPEG2000 EBCOT编码器的VLSI结构设计, 北京邮电大学学报, 2003, 26(4): 61-65
193. 韦日华, 张春, 王志华, 一种点对多点无线数据传输系统的设计, 电讯技术, 2003, 43(5): 29-33
194. 陈宁, 张利, 王志华, Motion JPEG2000的特点和应用, 电视技术, 2003, (3): 84-86
195. 谢时根, 张利, 王志华, 一种适用于MCU的SDRAM控制器的实现方法, 电视技术, 2003, (5): 69-70+76
196. 李福乐, 李冬梅, 张春, 王志华, 流水线结构模数转换器电容的误差平均技术, 清华大学学报(自然科学版), 2003, 43(1): 63-66
197. 刘雷波, 李德建, 王学进, 孟鸿鹰, 王志华, 陈弘毅, 夏宇闻, JPEG2000 DWT变换器和EBCOT编码器的VLSI结构设计, 清华大学学报(自然科学版), 2003, 43(4): 573-576
198. 谢翔, 张春, 王志华, 微电子技术在生物医学中的应用与发展, 电路与系统学报,
2003, 8(2): 80-85
199. 徐树山, 张春, 宁彦卿, 王志华, 基于固定电话网短消息传送协议分析及应用, 商丘师范学院学报, 2003, 19(5): 26-29
200. 李福乐, 李冬梅, 王志华, 一种提高流水线模数转换器速度的方法, 清华大学学报(自然科学版), 2002, 42(1): 7-10
201. 谢翔 , 张春 , 王志华, 生物医学电子学的发展, 电子产品世界, 2002, (11): 53-55
202. 王志华, 无线技术在无线认证中的应用(上), 世界电子元器件, 2002, (1): 34-35
203. 徐树山, 马万宾, 王志华, 张春, 基于固定电话网短消息的传送, 商丘师范学院学报, 2002, 18(2): 19-23+37
204. 王志华, 无线技术在无线认证中的应用(下), 世界电子元器件, 2002, (2): 47-48
205. 孙越, 王玥, 张春, 王志华, 基于定点DSP的实时噪声消除系统, 半导体技术, 2002, 27(6): 57-61
206. 李福乐, 李冬梅, 张春, 王志华, 一种速度优化的流水线模数转换电容误差平均技术, 电子学报, 2002, 30(9): 1285-1287
207. 刘雷波, 王学进, 孟鸿鹰, 王志华, 陈弘毅, 夏宇闻, JPEG2000小波变换器的VLSI结构设计, 电子学报, 2002, 30(11): 1609-1612
208. 李福乐, 李冬梅, 张春, 王志华, 一种用于流水线模数转换器的电容失配校准方法, 电子学报, 2002, 30(11): 1704-1706
209. 李德建, 孟鸿鹰, 王志华, JPEG2000中算术编码器的FPGA实现, 微电子学, 2002, 32(4): 245-248+252
210. 王志华, 刘路, 杨昆, 张春, 无线认证技术基础知识(连载)4.RFID系统的物理原理, 中国集成电路, 2002, (1): 81-90
211. 王志华, 梁胜, “国家集成电路设计产业化(北京)基地MPW加工服务中心”建设, 中国集成电路, 2002, (6): 45-48+82
212. 李德建, 孟鸿鹰, 王志华, 王学进, JPEG2000标准的特点及应用, 电视技术, 2001, (1): 22-23
213. 张蓉, 曲晶, 王志华, 柳春笙, 陈国庆, 基于FPGA的数字音频广播信道编码器的实现, 电子技术应用, 2001, 27(8): 60-62
214. 王志华, 吴恩德, CMOS射频集成电路的现状与进展, 电子学报, 2001, 29(2): 233-238
215. 孟鸿鹰, 刘贵忠, 王志华, 正交小波(包)变换的算子矩阵, 电子学报, 2001, 29(5): 675-677
216. 柳春笙, 陈国庆, 王志华, 李艳梅, 李渤, 李德健, 吴恩德, 窦维蓓, 董在望, 一个DAB原型发射机数字基带部分的设计与实现, 电子学报, 2001, 29(9): 1236-1239
217. 陈国庆, 高文焕, 王志华, 吴恩德, HPA的非线性对DAB信号的影响, 清华大学学报(自然科学版), 2001, 41(7): 47-50
218. 孟鸿鹰, 王志华, 双正交小波变换的算子矩阵及去相关性能分析, 中国图象图形学报, 2001, 6(12): 21-25
219. 蔡宣三, 王志华, 通信开关电源技术的进展, 电工技术杂志, 2001, (9): 55-57
220. 曲晶, 陈国庆, 张蓉, 王志华, 用ADSP2181的同步串行口实现异步通信及其应用, 电子技术, 2001, (7): 19-22
221. 王志华, 无线技术在信息家电的应用, 世界电子元器件, 2001, (9): 10-11
222. 柳春笙, 王志华, 窦维蓓, 凌育进, 一种低频有色噪声的自适应对消模型, 电声技术, 2000, (5): 30-34
223. 李冬梅, 王志华, 高文焕, 张尊侨, FPGA中的空间辐射效应及加固技术, 电子技术应用, 2000, 26(8): 4-6
224. 陈晓敏, 王学进, 王志华, 张利, JPEG编解码芯片ZR36060在远程视频监视系统中的应用, 电子技术应用, 2000, 26(12): 65-67
225. 陈国庆, 吴恩德, 高文焕, 王志华, 数字正交上变频器AD9856的原理及其应用, 电子技术应用, 2000, 26(12): 70-73
226. 陈涛, 张春, 王志华, 李冬梅, 刘润生, 数字式电子人工耳专用集成电路设计, 电子学报, 2000, 28(5): 36-39+43
227. 李冬梅, 高文焕, 张鸿远, 王志华, 用于过采样Σ-ΔA/D转换器的Σ-Δ调制器, 微电子学, 2000, 30(2): 72-75+82
228. 李冬梅, 高文焕, 张鸿远, 王志华, 过采样Sigma delta调制器的研究与仿真, 清华大学学报(自然科学版), 2000, 40(7): 89-92
229. 王志华, 孟鸿鹰, 张春, 万义和, 通信系统中的噪声消除技术, 电子产品世界, 2000, (2): 49-50+52
230. 柳春笙, 陈国庆, 王志华, 董在望, DAB发射系统组成与功能, 电子产品世界, 2000, (6): 40-42
231. 王志华, 李冬梅, 美国国家技术大学的电机工程专业硕士培养, 山东省农业管理干部学院学报, 2000, (2): 46-48+41
232. 张春, 王志华, ADSP21xxDSP的C和汇编混合编程技术, 电子技术应用, 1999, 25(8): 6-8
233. 张利, 汪浩, 王志华, 基于ADSP2182的PCB检测系统, 电子产品世界, 1999, (2): 51+58
234. 王志华, 张春, 陈涛, 刘润生, 现代信息技术的结晶──人工听觉与电子耳, 电子产品世界, 1999, (2): 62-63
235. 王志华, 基于核心模块的片上系统设计技术(上), 电子产品世界, 1999, (3): 56-59
236. 王志华, 基于核心模块的片上系统设计技术(下), 电子产品世界, 1999, (4): 59-60
237. 王素玲, 王志华, 三值GaAs场效应晶体管电路的研究, 河南职技师院学报, 1999, 27(2): 71-73
238. 王志华, 蒋安虓, 康宗明, 采用数字图像处理技术的远程监控报警系统, 计算机与网络, 1999, (10): 17-18
239. 高永红, 王志华, 王建昕, 董在望, 用于数字音频广播接收机的全码率维特比译码集成电路, 电子学报, 1998, 26(8): 111-113
240. 王海林, 管超, 张蔚涛, 王志华, 基于智能卡和扩频技术的实验室电源管理系统, 实验技术与管理, 1997, 14(2): 40-44
241. 王志华, 张尊桥, 高永红, 朱殿臣, 一种燃气灶具控制专用集成电路, 微电子学, 1997, 27(5): 55-57
242. 张春, 程雨, 王志华, 用DSP技术实现窄带MODEM, 电力系统通信, 1997, (3): 27-28+47
243. 陈小元, 王志华, 用位串行算法实现抽取滤波器, 电路与系统学报, 1997, 2(3): 25-31
244. 范鹏程, 王志华, 高文焕, 用于I_(DDQ)测试的片内电流传感器, 微电子测试, 1997, (1): 28-38
245. 陈小元,王志华, 开关电流技术与过采样A/D变换器, 电路与系统学报, 1996, 1(4): 44-51
246. 王志华, 模拟集成电路的测试与故障检测技术, 电子学报, 1995, 23(10): 81-85+31
247. 杨华中, 王志华, 刘润生, 范崇治, 多目标多约束的集成电路统计优化策略, 微电子学, 1992, 22(1): 36-40
国际会议论文 – (514)
ISSCC – (6)
1. Zhiqiang Huang, Luong, H.C., Baoyong Chi, Zhihua Wang, Haikun Jia, A 70.5-to-85.5GHz 65nm phase-locked loop with passive scaling of loop filter, IEEE International Solid- State Circuits Conference - (ISSCC 2015), pp. 1 - 3, 22-26 Feb. 2015, San Francisco, CA
2. Cong Shi, Jie Yang, Ye Han, Zhongxiang Cao, Qi Qin, Liyuan Liu, Nanjian Wu, Zhihua Wang, A 1000fps Vision Chip Based on a Dynamically Reconfigurable Hybrid Architecture Comprising a PE Array and Self-Organizing Map Neural Network, Digest of Technical Papers of IEEE International Solid-State Circuits Conference, 2014 (ISSCC 2014), pp. 128 - 129, San Francisco, CA
3. Shuli Geng, Dang Liu, Yanfeng Li, Huiying Zhuo, Woogeun Rhee, Zhihua Wang, A 13.3mW 500Mb/s IR-UWB Transceiver with Link-Margin Enhancement Technique for Meter-Range Communications, Digest of Technical Papers of IEEE International Solid-State Circuits Conference, 2014 (ISSCC 2014), pp. 160 - 161, San Francisco, CA
4. Fei Chen, Yu Li, Dang Liu, Woogeun Rhee, Jongjin Kim, Dongwook Kim, Zhihua Wang, A 1mW 1Mb/s 7.75-to-8.25GHz chirp-UWB transceiver with low peak-power transmission and fast synchronization capability, Digest of Technical Papers of IEEE International Solid-State Circuits Conference, 2014 (ISSCC 2014), pp. 162 - 163, 2014, San Francisco, CA
5. Xueyi Yu, Woogeun Rhee, Zhihua Wang, Jung-Bae Lee, Changhyun Kim, A 0.4-to-1.6GHz low-OSR ΔΣ DLL with self-referenced multiphase generation, Digest of Technical Papers of IEEE International Solid-State Circuits Conference, 2008(ISSCC 2009), pp. 398 – 399
6. Xueyi Yu, Yuanfeng Sun, Li Zhang, Woogeun Rhee, Zhihua Wang, A 1GHz Fractional-N PLL Clock Generator with Low-OSR ΔΣ Modulation and FIR-Embedded Noise Filtering, Digest of Technical Papers of IEEE International Solid-State Circuits Conference, 2008(ISSCC 2008), pp. 346 – 618
ISCAS – (92)
7. Chengwei Wang, Xiao Wang, Yang Ding, Fule Li, Zhihua Wang, A 14-bit 250MS/s Low-Power Pipeline ADC with Aperture Error Eliminating Technique, ISCAS 2018, pp. 1-5,
Florence, Italy, 27-30 May 2018
8. Xiao Wang, Chengwei Wang, Fule Li, Zhihua Wang, A Low-Power 12-bit 2GS/s Time-Interleaved Pipelined-SAR ADC in 28nm CMOS Process, ISCAS 2018, pp. 1-5, Florence, Italy, 27-30 May 2018
9. Guijie Zhu, Songping Mai, Xian Tang, Chun Zhang, Zhihua Wang, Hong Chen, An Energy-Efficient High-Frequency Neuro-Stimulator with Parallel Pulse Generators, Staggered Output and Extended Average Current Range, ISCAS 2018, pp. 1-4, Florence, Italy, 27-30 May 2018
10. Juzheng Liu, Jing Chen, Hanjun Jiang, Wen Jia, Qingliang Lin, Zhihua Wang, Activity Recognition in Wearable ECG Monitoring Aided by Accelerometer Data, ISCAS 2018, pp. 1-4, Florence, Italy, 27-30 May 2018
11. Deng Luo, Milin Zhang, Zhihua Wang, Design of A Low Noise Neural Recording Amplifier for Closed-loop Neuromodulation Applications, ISCAS 2018, pp. 1-4, Florence, Italy, 27-30 May 2018
12. Zhe Su, Hong Chen, Zhihua Wang, A Trajectory Measurement System and Algorithms for Unicondylar Knee Replacement Surgery, ISCAS 2018, pp. 1-5, Florence, Italy, 27-30 May 2018
13. Ranran Zhou, Yining Zhang, Woogeun Rhee, Zhihua Wang, An energy/bandwidth/area efficient frequency-domain OOK transmitter with phase rotated modulation, ISCAS 2017, pp. 1-4, Baltimore, MD, USA, 28-31 May 2017
14. Shaoquan Gao, Hanjun Jiang, Zhaoyang Weng, Yanshu Guo, Jingjing Dong, Zhihua Wang, A 7.9muA 4-bit 4Msps successive approximation phase-domain ADC for GFSK demodulator, ISCAS 2017, pp. 1-4, Baltimore, MD, USA, 28-31 May 2017
15. Yanshu Guo, Songping Mai, Zhaoyang Weng, Heng Liu, Hanjun Jiang, Zhihua Wang, A 9.4 pJ/bit 432 MHz 16-QAM/MSK transmitter based on edge-combining power amplifier, ISCAS 2017, pp. 1-4, Baltimore, MD, USA, 28-31 May 2017
16. Yudong Zhang, Xiaofeng Liu, Woogeun Rhee, Hanjun Jiang, Zhihua Wang, A 0.6V 50-to-145MHz PVT tolerant digital PLL with DCO-dedicated DeltaSigma LDO and temperature compensation circuits in 65nm CMOS, ISCAS 2017, pp. 1-4, Baltimore, MD, USA, 28-31 May 2017
17. Zhuo Li, Xingtong Liu, Xiang Xie, Guolin Li, Songping Mai, Zhihua Wang, An optical tracker based registration method using feedback for robot-assisted insertion surgeries, ISCAS 2017, pp. 1-4, Baltimore, MD, USA, 28-31 May 2017
18. Sen Li, Xiang Xie, Guolin Li, Zhihua Wang, Bare-finger Projector-Camera-Touchpad (PCT) HCI system using color structured light, ISCAS 2017, pp. 1-4, Baltimore, MD, USA, 28-31 May 2017
19. Yuchi Zhang, Guolin Li, Xiang Xie, Zhihua Wang, A new algorithm for accurate and automatic chessboard corner detection, ISCAS 2017, pp. 1-4, Baltimore, MD, USA, 28-31 May 2017
20. Xiao Wang, Fule Li, Zhihua Wang, A novel autocorrelation-based timing mismatch Calibration strategy in Time-Interleaved ADCs, 2016 IEEE International Symposium on Circuits and Systems (ISCAS 2016), pp. 1490-1493, 22-25 May, 2016, Montreal, Canada
21. Jing Xu, Zhaoyang Weng, Hanjun Jiang, Chun Zhang, Zhihua Wang, Qinglian Lin, A high efficiency single-inductor dual-output buck converter with adaptive freewheel current and hybrid mode control, 2016 IEEE International Symposium on Circuits and Systems (ISCAS 2016), pp. 1614-1617, 22-25 May, 2016, Montreal, Canada
22. Zipeng Chen, Zheng Song, Meng Wei, Zhihua Wang, Baoyong Chi, A reconfigurable IF receiver supporting intra-band non-contiguous carrier aggregation in 65 nm CMOS, 2016 IEEE International Symposium on Circuits and Systems (ISCAS 2016), pp. 1554-1557, 22-25 May, 2016, Montreal, Canada
23. Yang Yang, Xiang Xie, Guolin Li, Huanhuan Li, Yadong Huang, Zhihua Wang, The design of high efficiency energy receiving coil for micro-ball Endoscopy, 2016 IEEE International
Symposium on Circuits and Systems (ISCAS 2016), pp. 1814-1817, 22-25 May, 2016, Montreal, Canada
24. Yiyu Shen, Woogeun Rhee, Zhihua Wang,A Digital Power Amplifier with FIR-Embedded 1-Bit High-Order ΔΣ Modulation for WBAN Polar Transmitters, 2015 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 662 - 665, May 24-27, 2015, Lisbon, Portugal
25. Xinwang Zhang, Yichuang Sun, Zhihua Wang, Baoyong Chi, A 0.5-30GHz Wideband Differential CMOS T/R Switch with Independent Bias and Leakage Cancellation Techniques, 2015 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 449 - 452, May 24-27, 2015, Lisbon, Portugal
26. Yang Yang, Xiang Xie, Guolin Li, Yadong Huang, Zhihua Wang, A Combined Transmitting Coil Design for High Efficiency WPT of Endoscopic Capsule, 2015 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 97 - 100, May 24-27, 2015, Lisbon, Portugal
27. Ya Wang, Fule Li, Chunying Xue and Zhihua Wang, Charge-Compensation-Based Reference Technique for Switched-Capacitor ADCs, 2015 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2257 - 2260, May 24-27, 2015, Lisbon, Portugal
28. Jinghui Liu, Songping Mai, Chun Zhang and Zhihua Wang, A High-Voltage, Energy-Efficient, 4-Electrodes Output Stage for Implantable Neural Stimulator, 2015 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 762 - 765, May 24-27, 2015, Lisbon, Portugal
29. Xiaoyong Li, Woogeun Rhee, Wen Jia, Zhihua Wang, A Multi-Bit FIR Filtering Technique for Two-Point Modulators with Dedicated Digital High-Pass Modulation Path, 2015 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 894 - 897, May 24-27, 2015, Lisbon, Portugal
30. Jingjing Dong, Hanjun Jiang, Zhaoyang Weng, Jingyi Zheng, Chun Zhang, Zhihua Wang, A Fast AGC Method for Multimode Zero-IF/Sliding-IF WPAN/Ban Receivers, 2015 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1310 - 1313, May 24-27, 2015, Lisbon, Portugal
31. Shaojie Su, Jiyang Gao, Hong Chen, Zhihua Wang, Design of a Computer-Aided Visual System for Total Hip Replacement Surgery, 2015 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 786 - 789, May 24-27, 2015, Lisbon, Portugal
32. Yanfeng Li, Ni Xu, Woogeun Rhee, Zhihua Wang, A 2.5GHz ADPLL with PVT-insensitive ΔΣ dithered time-to-digital conversion by utilizing an ADDLL, 2014 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1440 - 1443, June 1-5, 2014, Melbourne, Australia
33. Wendi Yang, Kai Yang, Hanjun Jiang, Zhihua Wang, Qingliang Lin, Wen Jia, Fetal heart rate monitoring system with mobile internet2014 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 443 - 446, June 1-5, 2014, Melbourne, Australia
34. Xilei Cai, Xiang Xie, Guolin Li, Wei Song, Yi Zheng, Zhihua Wang, A new method of detecting fingertip touch for the projector-camera HCI system, 2014 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 526 - 529, June 1-5, 2014, Melbourne, Australia
35. Hong Chen, Shaojie Su, Zhihua Wang, Xu Zhang, A wirelessly monitoring system design for Total Hip Replacement surgery, 2014 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2069 - 2072, June 1-5, 2014, Melbourne, Australia
36. Jianlong Zhang, Dan Wang, Xiang Xie, Guolin Li, Yingke Gu, Zhihua Wang, A low-complexity intestinal lumen detection method for wireless endoscopy images, 2014 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2061 - 2064, June 1-5, 2014, Melbourne, Australia
37. Dang Liu, Shuli Geng, Woogeun Rhee, Zhihua Wang, A high efficiency robust IR-UWB receiver design for high data rate CM-range communications, 2014 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1901 - 1904 , June 1-5, 2014, Melbourne, Australia
38. Shuli Geng, Ni Xu, Jun Li, Xueyi Yu, Woogeun Rhee, Zhihua Wang, A PLL/DLL Based CDR with Delta-Sigma Frequency Tracking and Low Algorithmic Jitter Generation, 2013 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1179 - 1182 , May 19-23, 2013, Beijing China
39. Weitao Li, Cao Sun, Fule Li, Zhihua Wang, A 14-bit Pipelined ADC with Digital Background Nonlinearity Calibration, ISCAS 2013, pp. 2448 - 2451 , May 19-23, 2013, Beijing China
40. Nan Qi, Zheng Song, Baoyong Chi, Tianling Ren, Albert Wang, Zhihua Wang, A Multi-Mode Complex Bandpass Filter With gm-Assisted Power Optimization and I/Q Calibration, 2013 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1845 - 1848 , May 19-23, 2013, Beijing China
41. Lingwei Zhang, Hanjun Jiang, Fule Li, Jingjing Do,ng, Zhihua Wang, A LUT-free DC Offset Calibration Method for removing the PGA-gain-correlated Offset Residue, 2013 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1704 - 1707 , May 19-23, 2013, Beijing China
42. Zhong Lv, Yi Xu, Guolin Li, Xiang Xie, Jun Hu, Wei Song, Zhihua Wang, A new finger touch detection algorithm and prototype system architecture for pervasive bare-hand human computer interaction, 2013 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 725 - 728 , May 19-23, 2013, Beijing China
43. Songping Mai, Chunhong Li, Yixin Zhao, Chun Zhang, Zhihua Wang, A high-performance low-power SoC for mobile one-time password applications, 2013 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1436 -1439 , May 19-23, 2013, Beijing China
44. Changyi Yang, Weitao Li, Fule Li, Zhihua Wang, A merged first and second stage for low power pipelined ADC, 2013 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 153 - 156 , May 19-23, 2013, Beijing China
45. Yadong Huang, Jianfeng Wang, Tianjia Sun, Xiang Xie, Guolin Li, Yingke Gu, Zhihua Wang, An efficiency-enhanced wireless power transfer system with segmented transmitting coils for endoscopic capsule, 2013 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2279 - 2282 , May 19-23, 2013, Beijing China
46. Xiaobao Yu, Baoyong Chi, Meng Wei, A. Wang, Tianling Ren, Zhihua Wang, A half rate CDR with DCD cleaning up and quadrature clock calibration for 20Gbps 60GHz communication in 65nm CMOS, ISCAS 2013, pp. 962 - 965 , May 19-23, 2013, Beijing China
47. Hong Chen, Chun Zhang, Zhihua Wang, Live demonstration: A wireless force measurement system for total knee arthroplasty, 2013 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 672 , May 19-23, 2013, Beijing China
48. Shijie Hu, Chen Jia, Ke Huang, Chun Zhang, Xuqiang Zheng, Zhihua Wang, A 10Gbps CDR based on Phase Interpolator for Source Synchronous Receiver in 65nm CMOS, 2012 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 309 - 312, May 20-23, 2012, Seoul, Korea
49. Xu Zhang, Ming Liu, Hong Chen, Chun Zhang, Zhihua Wang, A wide dynamic range and fast update rate integrated interface for capacitive sensors array, 2012 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2961 - 2964, May 20-23, 2012, Seoul, Korea
50. Wei Zhang, Woogeun Rhee, Zhihua Wang, A ΔΣ IR-UWB Radar with Sub-mm Ranging Capability for Human Body Monitoring Systems, 2012 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1315 - 1318, May 20-23, 2012, Seoul, Korea
51. Shuli Geng, Woogeun Rhee, Zhihua Wang, A pulse-shaped power amplifier with dynamic bias switching for IR-UWB transmitters, 2012 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2529 - 2532, May 20-23, 2012, Seoul, Korea
52. Yafei Ye, Liyuan Liu, Jiangyuan Li, Dongmei Li, Zhihua Wang, A 120dB SNDR Audio Sigma-Delta Modulator with an Asynchronous SAR Quantizer, 2012 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2357 - 2360, May 20-23, 2012, Seoul, Korea
53. Xuan Wang, Changyi Yang, Xiaoxiao Zhao, Chao Wu, Fule Li, Zhihua Wang, Bin Wu, A 12-bit, 270MS/s Pipelined ADC with SHA-Eliminating Front End, 2012 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 798 - 801, May 20-23, 2012, Seoul, Korea
54. Dingguo Wei, Chun Zhang, Yan Cui, Hong Chen, Zhihua Wang, Design of a Low-cost Low-power Baseband-processor for UHF RFID Tag with Asynchronous Design Technique, 2012 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2789 - 2792, May 20-23, 2012, Seoul, Korea
55. Yang Xu, Nan Qi, Zhou Chen, Baoyong Chi, Zhihua Wang, A hybrid approach to I/Q imbalance self-calibration in reconfigurable low-IF receivers, 2012 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 552 - 555, May 20-23, 2012, Seoul, Korea
56. Hanqing Luo, Ming Liu, Hong Chen, Chun Zhang, Zhihua Wang, A Wireless Force Measurement System For Total Knee Arthroplasty, 2012 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2637 - 2640, May 20-23, 2012, Seoul, Korea
57. Yang Xu, Baoyong Chi, Zhihua Wang, Power-scalable multi-mode reconfigurable continuous-time lowpass/quadrature bandpass sigma-delta modulator for zero/low-IF receivers, 2012 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 293 - 296, May 20-23, 2012, Seoul, Korea
58. Yiyi Ren, Wenshou Chen, Xiang Xie, Guolin Li, Yangdong Deng, Kai Zhao, E. Shi, Zhihua Wang, A theoretical and empirical error analysis of mobile 3D data acquisition system, 2012 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 596 - 599, May 20-23, 2012, Seoul, Korea
59. Yingke Gu, Guolin Li, Xiang Xie, Tianjia Sun, Shouhao Liu, Xiaomeng Li, Songping Mai, Zhihua Wang, The design and implementation of a chipset for the endoscopic Micro-Ball, 2012 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2633 - 2636, May 20-23, 2012, Seoul, Korea
60. Ke Huang, Chen Jia, Xuqiang Zheng, Ni Xu, Chun Zhang, Woogeun Rhee, Zhihua Wang, A 9.6Gb/s 5+1-lane source synchronous transmitter in 65nm CMOS technology, 2012 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 313 - 316, May 20-23, 2012, Seoul, Korea
61. Jun Hu, Xiang Xie, Guolin Li, Yingke Gu, Yemao Zeng, Zhihua Wang, Attitude sensing system design for wireless Micro-Ball endoscopy, 2011 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2357 - 2360, May 15-18, 2011, Rio De Janeiro, RJ, Brazil
62. Xiaomeng Li, Tianjia Sun, Guolin Li, Xiang Xie, Yingke Gu, Zhihua Wang, A New Omnidirectional Wireless Power Transmission Solution for the Wireless Endoscopic Micro-Ball, 2011 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2609 - 2612, May 15-18, 2011, Rio De Janeiro, RJ, Brazil
63. Liyuan Liu, Dongmei Li, Liangdong Chen, Yafei Ye, Zhihua Wang, A 1V 15-bit Audio ΔΣ ADC in 0.18?m CMOS, 2011 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 510 - 513, May 15-18, 2011, Rio De Janeiro, RJ, Brazil
64. Pengpeng Yuan, Zhihua Wang, Dongmei Li, A. Wang, Liyuan Liu, A Nanopower CMOS
Bandgap Reference with 30ppm/degree C from -30 degree C to 150 degree C, 2011 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2285 - 2288, May 15-18, 2011, Rio De Janeiro, RJ, Brazil
65. Zhigang Sun, Baoyong Chi, Chun Zhang, Zhihua Wang, A 0.13μm CMOS 1.5-to-2.15GHz Low Power Transmitter Front-End for SDR Applications, 2011 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2453 - 2456, May 15-18, 2011, Rio De Janeiro, RJ, Brazil
66. Dan Wang, Xiang Xie, Guolin Li, Yingke Gu, Tianjia Sun, Zhihua Wang, Image Registration Method for 2D Representation of Wireless Micro-Ball Endoscopic Images, 2011 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 93 - 96, May 15-18, 2011, Rio De Janeiro, RJ, Brazil
67. Zhuo Zhang, Woogeun Rhee, Zhihua Wang, A Wide-Tuning Quasi-Type-I PLL with Voltage-Mode Frequency Acquisition Aid, 2011 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 474 - 477, May 15-18, 2011, Rio De Janeiro, RJ, Brazil
68. Hang Lv, Bo Zhou, Woogeun Rhee, Yongming Li, Zhihua Wang, A Relaxation Oscillator with Multi-Phase Triangular Waveform Generation, 2011 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2837 - 2840, May 15-18, 2011, Rio De Janeiro, RJ, Brazil
69. Jian Chen, Xueyu Yu, Li Yugen, Zhihua Wang, Customized Zero Frequency Control for Hybrid FIR Noise Filtering in ΔΣ Fractional-N PLL, Proceedings of 2009 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2401 - 2404, May 24-27, 2009, Taipei, Taiwan
70. Zhongqi Liu, Chun Zhang, Yongming Li, Ziqiang Wang, Zhihua Wang, A Novel Demodulator for Low Modulation Index RF Signal in Passive UHF RFID Tag, Proceedings of 2009 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2109 - 2112, May 24-27, 2009, Taipei, Taiwan
71. Xiaoyu Zhang, Hanjun Jiang, Xinkai Chen, Lingwei Zhang, Zhihua Wang, An Energy Efficient Implementation of On-Demand MAC Protocol in Medical Wireless Body Sensor Networks, Proceedings of 2009 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 3094 - 3097, May 24-27, 2009, Taipei, Taiwan
72. Rui He, Jun Li, Woogeun Rhee, Zhihua Wang, Transient Analysis of Nonlinear Settling Behavior in Charge-Pump Phase-Locked Loop Design, Proceedings of 2009 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 469 - 472, May 24-27, 2009, Taipei, Taiwan
73. Qiuling Zhu, Chun Zhang, Zhongqi Liu, Jingchao Wang, Fule Li, Zhihua Wang, A Robust Radio Frequency Identification System Enhanced with Spread Spectrum Technique, Proceedings of 2009 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 37 - 40, May 24-27, 2009, Taipei, Taiwan
74. Ming Liu, Hong Chen, Run Chen, Zhihua Wang, Low-Power IC Design for a Wireless BCI system, IEEE International Symposium on Circuits and Systems, Proceedings of 2008 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1560 - 1563, May 18-21, 2008, Seattle, Washington, USA
75. Baoyong Chi, Chun Zhang, Zhihua Wang, Bandwidth extension for ultra-wideband CMOS low-noise amplifiers, Proceedings of 2008 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 968-971, May 18-21, 2008, Seattle, Washington, USA
76. Tongqiang Gao, Chun Zhang, Baoyong Chi, Zhihua Wang, An improved method of power control with CMOS class-E power amplifiers, Proceedings of 2008 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2306 - 2309
77. Fule Li, Zhihua Wang, Dongmei Li, An incomplete settling technique for pipelined analog-to-digital converters, Proceedings of 2007 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 3950 - 3953, May 27-30, 2007, New Orleans, USA
78. Baoyong Chi, Xueyi Yu, Woogeun Rhee, Zhihua Wang, A fractional-N PLL for digital clock generation with an FIR-embedded frequency divider, Proceedings of 2007 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 3051 - 3054, May 27-30, 2007, New Orleans, USA
79. Xinkai Chen, Guolin Li, Xiang Xie, Xiaowen Li, Zhihua Wang, Hong Chen, A low power digital baseband for wireless endoscope capsule, IEEE International Symposium on Circuits and Systems, Proceedings of 2007 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2355 - 2358, May 27-30, 2007, New Orleans, USA
80. Shuilong Huang, Zhihua Wang, A dual-slope PFD/CP frequency synthesizer architecture with an adaptive self-tuning algorithm, Proceedings of 2007 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 3924 - 3927, May 27-30, 2007, New Orleans, USA
81. Li Zhang, Baoyong Chi, Zhihua Wang, Hongyi Chen, Jinke Yao, Ende Wu, A 2-GHz 6.1-mA fully-differential CMOS phase-locked loop, Proceedings of 2007 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2447 - 2450, May 27-30, 2007, New Orleans, USA
82. Yike Cui, Baoyong Chi, Minjie Liu, Yulei Zhang, Yongmin Li, Zhihua Wang, Chiang Patrick, Process variation compensation of a 2.4GHz LNA in 0.18um CMOS using digitally switchable capacitance, Proceedings of 2007 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2562 - 2565, May 27-30, 2007, New Orleans, USA
83. Jingbo Duan, Fule Li, Liyuan Liu, Dongmei Li, Yongmin Li, Zhihua Wang, A pipelined A/D conversion technique with low INL and DNL, Proceedings of 2007 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 3391-3394, May 27-30, 2007, New Orleans, USA
84. Tongqiang Gao, Dongmei Li, Baoyong Chi, Zhihua Wang, A CMOS class-E power amplifiers with power control, Proceedings of 2007 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 3900-3903, May 27-30, 2007, New Orleans, USA
85. Xiaowen Li, Xiang Xie, Xinkai Chen, Guolin Li, Li Zhang, Zhihua Wang, Hong Chen, Design and implementation of a low complexity near-lossless image compression method for wireless endoscopy capsule system, Proceedings of 2007 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1321 - 1324, May 27-30, 2007, New Orleans, USA
86. Hong Chen, Chen Jia, Chun Zhang, Zhihua Wang, Chunsheng Liu, Power harvesting with PZT ceramics, Proceedings of 2007 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 557 - 560, May 27-30, 2007, New Orleans, USA
87. Hsiao Wei Su, Kyunggi Do, Zhihua Wang, The Impact of Different Gain Control Methods on Performance of CMOS Variable-Gain LNA, 2007 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2208 - 2211, May 27-30, 2007, New Orleans, USA
88. Xiang Xie, Guolin Li, Zhihua Wang, A New VLSI Structure for An Improved Near-lossless Color Image Compression Algorithm Inside Wireless Endoscopy Capsule, 2006 Proceedings, Proceedings of 2006 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 357 - 360, May 21-24, 2006, Island of Kos, Greece.
89. Baoyong Chi, Jinke Yao, Shuguang Han, Xiang Xie, Guolin Li, Zhihua Wang, A 2.4GHz low power wireless transceiver analog front-end for endoscopy capsule system, 2006 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 4907 - 4910, May 21-24, 2006, Island of Kos, Greece.
90. Xiaokang Guan, Zhihua Wang, A. Ishikawa, T. Tamura, Zhihua Wang, Chun Zhang, A 3V 110 /spl mu/W 3.1 ppm//spl deg/C curvature-compensated CMOS bandgap reference, 2006 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2861 - 2864, May 21-24, 2006, Island of Kos, Greece.
91. Jinke Yao, Baoyong Chi, Zhihua Wang, A 4MHz Gm-C filter with on-chip frequency automatic tuning, 2006 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 3814- 3817, May 21-24, 2006, Island of Kos, Greece.
92. Baoyong Chi, Bingxue Shi, Zhihua Wang, A CMOS down-conversion micromixer for IEEE 802.11b WLAN transceivers, 2006 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 3762- 3765, May 21-24, 2006, Island of Kos, Greece.
93. Songping Mai, Kun Yang, Wenli Lan, Chun Zhang, Zhihua Wang, An open-source based DSP with enhanced multimedia-processing capacity for embedded applications, 2006 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 939- 942, May 21-24, 2006, Island of Kos, Greece.
94. Xiang Xie, Guolin Li, Zhihua Wang, Chun Zhang, Dongmei Li, Xiaowen Li, A Novel Method of Lossy Image Compression for Digital Image Sensors with Bayer Color Filter Arrays, Proceedings of 2005 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 4995 - 4998, May 23 -26, 2005, Kobe, Japan.
95. Lu Liu, Zhihua Wang, Guolin Li, Calculation of intermodulation distortion in CMOS transconductance stage, ISCAS 2005 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 3700 - 3703, May 23 -26, 2005, Kobe, Japan.
96. Lu Liu, Zhihua Wang, A new high gain low voltage 1.45 GHz CMOS mixer, 2005 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 5023 - 5026, May 23 -26, 2005, Kobe, Japan
97. Zhihua Wang, Geilen G, Sansen Willy, A novel method for the fault detection of analog integrated circuits, Proceedings of 1994 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 347 - 350, May 30 - June 2, 1994, London, England, UK
98. Zhihua Wang, Huazhong Yang, Rensheng Liu, Chongzhi Fan, A new yield optimization algorithm and its applications, Proceedings of 1991 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1996 -1999, Jun 1991, SINGAPORE
CICC – (20)
99. Dang Liu, Xiaohua Huang, Zhendong Ding, Haixin Song, Woogeun Rhee, Zhihua Wang, A 26.6mW 1Gb/s dual-antenna wideband receiver with auto beam steering for secure proximity communications, CICC 2018, pp. 1-4, San Diego, CA, USA, 8-11 April 2018
100. Deng Luo, Milin Zhang, Zhihua Wang, Design of a 3.24μW, 39nV/√Hz chopper amplifier with 5.5Hz noise corner frequency for invasive neural signal acquisition, CICC 2018, pp. 1-4, San Diego, CA, USA, 8-11 April 2018
101. Xuqiang Zheng, Fangxu Lv, Feng Zhao, Shigang Yue, Chun Zhang, Ziqiang Wang, Fule Li, Hanjun Jiang, Zhihua Wang, A 10 GHz 56 fsrm3-integrated-jitter and -247 dB FOM ring-VCO based injection-locked clock multiplier with a continuous frequency-tracking loop in 65 nm CMOS, CICC 2017, pp. 67-70, Austin, TX, USA, 30 April-3 May 2017
102. Yining Zhang, Ranran Zhou, Woogeun Rhee, Zhihua Wang, A 6.1mW 5Mb/s 2.4GHz Transceiver with F-OOK Modulation for High Bandwidth and Energy Efficiencies, CICC 2017, pp. 142-145, Austin, TX, USA, 30 April-3 May 2017
103. Xuqiang Zheng, Chun Zhang, Fangxu Lv, Feng Zhao, Shigang Yue, Ziqiang Wang, Fule Li, Hanjun Jiang, Zhihua Wang, A 4-40 Gb/s PAM4 Transmitter with Output Linearity Optimization in 65 nm CMOS, CICC 2017, pp. 241-244, Austin, TX, USA, 30 April-3 May 2017
104. Ke Huang, Deng Luo, Ziqiang Wang, Xuqiang Zheng, Fule Li, Chun Zhang, Zhihua Wang, A 190mW 40Gbps SerDes transmitter and receiver chipset in 65nm CMOS technology, 2015 IEEE Custom Integrated Circuits Conference (CICC 2015), pp. 1-4, 28-30 Sept. 2015, San Jose, CA, USA
105. Yun Yin, Yanqiang Gao, Zhihua Wang, Baoyong Chi, A 0.1–5.0GHz self-calibrated SDR transmitter with ?62.6dBc CIM3 in 65nm CMOS, 2015 IEEE Custom Integrated Circuits Conference (CICC 2015), pp. 1-4, 28-30 Sept. 2015, San Jose, CA, USA
106. Shuai Yuan, Liji Wu, Ziqiang Wang, Xuqiang Zheng, Wen Jia, Chun Zhang, Zhihua Wang, A 4×20-Gb/s 0.86pJ/b/lane 2-tap-FFE source-series-terminated transmitter with far-end crosstalk cancellation and divider-less clock generation in 65nm CMOS, 2015 IEEE Custom Integrated Circuits Conference (CICC 2015), pp. 1-4, 28-30 Sept. 2015, San Jose, CA, USA
107. Ke Huang, Ziqiang Wang, Xuqiang Zheng, Chun Zhang and Zhihua Wang, A 75mW 50Gbps SerDes Transmitter with Automatic Serializing Time Window Search in 65nm CMOS technology, Custom Integrated Circuits Conference, 2014(CICC 2014), pp. 1 - 4, 15-17 Sept. 2014, San Jose, CA
108. Yun Yin, Baoyong Chi, Xiaobao Yu, Wen Jia and Zhihua Wang, An Efficiency-Enhanced 2.4GHz Stacked CMOS Power Amplifier with Mode Switching Scheme for WLAN Applications, Custom Integrated Circuits Conference, 2014(CICC 2014), pp. 1 - 4, 15-17 Sept. 2014, San Jose, CA
109. Fei Chen, Yu Li, Deyuan Lin, Huiying Zhuo, Woogeun Rhee, Jongjin Kim, Dongwook Kim, Zhihua Wang, A 1.14mW 750kb/s FM-UWB transmitter with 8-FSK subcarrier modulation, Custom Integrated Circuits Conference, 2013(CICC 2013), pp. 1 - 4
110. Nan Qi, Baoyong Chi, Yang Xu, Zhou Chen, Jun Xie, Yang Xu, Zheng Song, Zhihua Wang, An asymmetric dual-channel reconfigurable receiver for GNSS in 180nm CMOS, Custom Integrated Circuits Conference, 2013(CICC 2013), pp. 1 - 4
111. Xinwang Zhang, Yun Yin, Meng Cao, Zhigang Sun, Ling Fu, Zhaokang Xia, Hongxing Feng, Xing Zhang, Baoyong Chi, Ming Xu, Zhihua Wang, A 0.1?4GHz receiver and 0.1?6GHz transmitter with reconfigurable 10?100MHz signal bandwidth in 65nm CMOS, Custom Integrated Circuits Conference, 2012(CICC 2012), pp. 1 - 4
112. L. Liu, D. Li, Y. Ye, L. Chen, Zhihua Wang, A 95dB SNDR audio ΔΣ modulator in 65nm CMOS, Custom Integrated Circuits Conference, 2011(CICC 2011), pp. 1 - 4
113. Nan Qi, Yang Xu, Baoyong Chi, Yang Xu, Xiaobao Yu, Xing Zhang, Zhihua Wang, A dual-channel GPS/Compass/Galileo/GLONASS reconfigurable GNSS receiver in 65nm CMOS, Custom Integrated Circuits Conference, 2011(CICC 2011), pp. 1 - 4
114. Xiaoyu Zhang, Hanjun Jiang, Fule Li, Songyuan Cheng, Chun Zhang, Zhihua Wang, An energy-efficient SoC for closed-loop medical monitoring and intervention, Custom Integrated Circuits Conference, 2010(CICC 2010), pp. 1 - 4
115. Jingchao Wang, Chun Zhang, Baoyong Chi, Ziqiang Wang, Zhihua Wang, A fully integrated CMOS UHF RFID reader transceiver for handheld applications, Custom Integrated Circuits Conference, 2009(CICC 2009), pp. 495 - 498
116. Hong Chen, Chen Jia, Yi Chen, Ming Liu, Chun Zhang, Zihua Wang, A low-power IC design for the wireless monitoring system of the orthopedic implants, Custom Integrated Circuits Conference, 2008(CICC 2008), pp. 363 - 366
117. Xueyi Yu, Yuanfeng Sun, Woogeun Rhee, Zhihua Wang, Hyung Ki Ahn, Byeong-Ha Park, A ΔΣ fractional-N synthesizer with customized noise shaping for WCDMA/HSDPA applications, Custom Integrated Circuits Conference, 2008(CICC 2008), pp. 753 - 756
118. Leibo Liu, Hongying Meng, Li Zhang, Zhihua Wang, An ASIC implementation of JPEG2000 codec, Custom Integrated Circuits Conference, 2005(CICC 2005), pp. 691 - 694
A-SSCC – (38)
119. Haixin Song, Dang Liu, Woogeun Rhee, Zhihua Wang, A 6-8GHZ 200MHz Bandwidth 9-Channel VWB Transceiver with 8 Frequency-Hopping Subbands, A-SSCC 2018, pp. 295-298, Tainan, Taiwan, 5-7 Nov. 2018
120. Xuqiang Zheng, Chun Zhang, Shuai Yuan, Feng Zhao, Shigang Yue, Ziqiang Wang, Fule Li, Zhihua Wang, An Improved 40 Gb/s CDR with Jitter-Suppression Filters and Phase-Compensating Interpolators, A-SSCC 2016, pp. 85-88, Toyama, JAPAN, NOV 07-09, 2016
121. Yining Zhang, Ranran Zhou, Woogeun Rhee, Zhihua Wang, A 1.9mW 750kb/s 2.4GHz F-OOK Transmitter with Symmetric FM Template and High-Point Modulation PLL, A-SSCC 2016, pp. 277-280, Toyama, JAPAN, NOV 07-09, 2016
122. Haikun Jia, Clarissa C. Prawoto, Baoyong Chi, Zhihua Wang, C. Patrick Yue, A 32.9% PAE, 15.3 dBm, 21.6-41.6 GHz Power Amplifier in 65nm CMOS Using Coupled Resonators, A-SSCC 2016, pp. 345-348, Toyama, JAPAN, NOV 07-09, 2016
123. Dang Liu, Xiaofeng Liu, Woogeun Rhee, Zhihua Wang, A 7.6mW 2Gb/s proximity transmitter for smartphone-mirrored display applications, 2015 IEEE Asian Solid-State Circuits Conference (A-SSCC 2015), pp. 1-4, 9-11 Nov. 2015, Xiamen, China
124. Zhaoyang Weng, Shaoquan Gao, Jingjing Dong, Kai Yang, Hanjun Jiang, Fule Li, Zhihua Wang, Yanqing Ning, Xinkai Chen, Dedicated ICs for wearable body sound monitoring, 2015 IEEE Asian Solid-State Circuits Conference (A-SSCC 2015), pp. 1-4, 9-11 Nov. 2015, Xiamen, China
125. Haikun Jia, Baoyong Chi, Lixue Kuang, Wei Zhu, Zhiping Wang, Feng Ma, Zhihua Wang, A frequency doubling two-path phased-array FMCW radar transceiver in 65nm CMOS, 2015 IEEE Asian Solid-State Circuits Conference (A-SSCC 2015), pp. 1-4, 9-11 Nov. 2015, Xiamen, China
126. Ni Xu, Yiyu Shen, Sitao Lv, Woogeun Rhee, Zhihua Wang, A spread-spectrum clock generator with FIR-embedded binary phase detection and 1-bit high-order ???? modulation, 2015 IEEE Asian Solid-State Circuits Conference (A-SSCC 2015), pp. 1-4, 9-11 Nov. 2015, Xiamen, China
127. Tzi-Dar Chiueh, Toru Shimizu, Gregory Chen, Chen Yi Lee, Charles Hsu, Tihao Chiang, Zhihua Wang, Junghwan Choi, Jongwoo Lee, Yasumoto Tomita, Takayuki Kawahara, What is a good way to expand a silicon value to a solution value, IEEE Asian Solid-State Circuits Conference (A-SSCC 2014), 2014, pp. 389 - 394, 11 Nov. 2014
128. Xinwang Zhang, Baoyong Chi, Yang Xu, Bingqiao Liu, Qian Yu, Siyang Han, Qiongbing Liu, Zehong Zhang, Yanqiang Gao, Zhihua Wang, A 0.1‐5GHz Flexible SDR Receiver in 65nm CMOS, Asian Solid-State Circuits Conference, 2014(A-SSCC 2014)
129. Xiaobao Yu, Meng Wei, Yun Yin, Ying Song, Siyang Han, Qiongbing Liu, ZongmingJin, Xiliang Liu, Zhihua, Wang, Baoyong Chi, A Fully‐Integrated Reconfigurable Dual‐Band Transceiver for Short Range Wireless Communication in 180nm CMOS, Asian Solid-State Circuits Conference, 2014(A-SSCC 2014)
130. Yang Li, Ni Xu, Yining Zhang, Woogeun Rhee, Sanghoon Kang, Zhihua Wang, A 0.65V 1.2mW 2.4GHz/400MHz Dual‐Mode Phase Modulator for Mobile Healthcare Applications, Asian Solid-State Circuits Conference, 2014(A-SSCC 2014)
131. Xinwang Zhang, Baoyong Chi, Zhihua Wang, A 0.1‐1.5GHz Harmonic Rejection Receiver Front‐End with Hybrid 8 Phase Lo Generator, Phase Ambiguity Correction and Vector Gain Calibration, Asian Solid-State Circuits Conference, 2014(A-SSCC 2014)
132. Changyi Yang, Fule Li, Weitao Li, Xuan Wang, Zhihua Wang, An 85mW 14-bit 150MS/s Pipelined ADC with 71.3dB Peak SNDR in 130nm CMOS, Asian Solid-State Circuits Conference, 2013(A-SSCC 2013), pp. 85 - 88
133. Haikun Jia, Baoyong Chi, Lixue Kuang, Zhihua Wang, A Resonant-Mode Switchable VCO with 47.6-71.0 GHz Tuning Range Based on pi-Type LC Network, Asian Solid-State Circuits Conference, 2013(A-SSCC 2013), pp. 321 - 324
134. Lixue Kuang, Baoyong Chi, Lei Chen, Meng Wei, Xiaobao Yu, Zhihua Wang, An Integrated 60GHz 5Gb/s QPSK Transmitter with On-Chip T/R Switch and Fully-Differential PLL Frequency Synthesizer in 65nm CMOS, Asian Solid-State Circuits Conference, 2013(A-SSCC 2013), pp. 413 - 416
135. Yun Yin, Baoyong Chi, Qian Yu, Bingqiao Liu, Zhihua Wang, A 0.1-5GHz SDR
Transmitter with Dual-Mode Power Amplifier and Digital-Assisted I/Q Imbalance Calibration in 65nm CMOS, Asian Solid-State Circuits Conference, 2013(A-SSCC 2013), pp. 205 - 208
136. Zehong Zhang, Yang Xu, Nan Qi, Baoyong Chi, A 5/20MHz-BW 4.2/8.1mW CT QBP ΣΔ Modulator with Digital I/Q Calibration for GNSS Receivers, Asian Solid-State Circuits Conference, 2013(A-SSCC 2013), pp. 393 - 396
137. Nan Qi, Zheng Song, Zehong Zhang, Yang Xu, Baoyong Chi, Zhihua Wang, A Multi-Mode Blocker-Tolerant GNSS Receiver with CT Sigma-Delta ADC in 65nm CMOS, Asian Solid-State Circuits Conference, 2013(A-SSCC 2013), pp. 333 - 336
138. Haikun Jia, Baoyong Chi, Lixue Kuang, Zhihua Wang, A Self-Healing mm-Wave Amplifier Using Digital Controlled Artificial Dielectric Transmission Lines, Asian Solid-State Circuits Conference, 2013(A-SSCC 2013), pp. 425 - 428
139. Zhuo Zhang, Xican Chen, Woogeun Rhee, Zhihua Wang, A Cint-less type-II PLL with ΔΣ DAC based frequency acquisition and reduced quantization noise, Asian Solid-State Circuits Conference, 2012(A-SSCC 2012), pp. 301 - 304
140. Shuli Geng, Xican Chen, Woogeun Rhee, Jongjin Kim, Dongwook Kim, Zhihua Wang, A power-efficient all-digital IR-UWB transmitter with configurable pulse shaping by utilizing a digital amplitude modulation technique, Asian Solid-State Circuits Conference, 2012(A-SSCC 2012), pp. 85 - 88
141. Lingwei Zhang, Hanjun Jiang, Jianjun Wei, Jingjing Dong, Weitao Li, Jia Gao, Jianwei Cui, Fule Li, Baoyong Chi, Chun Zhang, Zhihua Wang, A low-power reconfigurable multi-band sliding-IF transceiver for WBAN Hubs in 0.18μm CMOS, Asian Solid-State Circuits Conference, 2012(A-SSCC 2012), pp. 77 - 80
142. Zhijie Chen, Yang Jiang, Chenyan Cai, He-Gong Wei, Sai-Weng Sin, Seng-Pan, U., Zhihua Wang, Martins, R.P., A 22.4 μW 80dB SNDR ΣΔ modulator with passive analog adder and SAR quantizer for EMG application, Asian Solid-State Circuits Conference, 2012(A-SSCC 2012), pp. 257 - 260
143. Tianjia Sun, Xiang Xie, Guolin Li, Yingke Gu, Xiaomeng Li, Zhihua Wang, An omnidirectional wireless power receiving IC with 93.6% efficiency CMOS rectifier and Skipping Booster for implantable bio-microsystems, Asian Solid-State Circuits Conference, 2011(A-SSCC 2011), pp. 185 - 188
144. Bo Zhou, Rui He, Jian Qiao, Jinghui Liu, Woogeun Rhee, Zhihua Wang, A low data rate FM-UWB transmitter with-based sub-carrier modulation and quasi-continuous frequency-locked loop, Asian Solid-State Circuits Conference, 2010(A-SSCC 2010), pp. 1 - 4
145. Rui He, Chengwen Liu, Xueyi Yu, Woogeun Rhee, Park, Joon-Young, Changhyun Kim, Zhihua Wang, A low-cost, leakage-insensitive semi-digital PLL with linear phase detection and FIR-embedded digital frequency acquisition, Asian Solid-State Circuits Conference, 2010(A-SSCC 2010), pp. 1 - 4
146. Hanjun Jiang, Fule Li, Xinkai Chen, Yanqing Ning, Xu Zhang, Xu Zhang, Teng Ma, Zhihua Wang, A SoC with 3.9mW 3Mbps UHF transmitter and 240μW MCU for capsule endoscope with bidirectional communication, Asian Solid-State Circuits Conference, 2010(A-SSCC 2010), pp. 1 - 4
147. Liyuan Liu, Dongmei Li, Liangdong Chen, Chun Zhang, Shaojun Wei, Zhihua Wang, A 1V 350μW 92dB SNDR 24 kHz ΔΣ modulator in 0.18μm CMOS, Asian Solid-State Circuits Conference, 2010(A-SSCC 2010), pp. 1 - 4
148. Xueyi Yu, Yuanfeng Sun, Woogeun Rhee, Sangsoo Ko, Wooseung Choo, Byeong-Ha Park, Zhihua Wang, A 65nm CMOS 3.6GHz fractional-N PLL with 5th-order ΔΣ modulation and weighted FIR filtering, Asian Solid-State Circuits Conference, 2009(A-SSCC
2009), pp. 77 - 80
149. Li Zhang, Xueyi Yu, Yuanfeng Sun, Woogeun Rhee, Zhihua Wang, Hongyi Chen, A hybrid spur compensation technique for finite-modulo fractional-N phase-locked loops, Asian Solid-State Circuits Conference, 2008(A-SSCC 2008), pp. 417 - 420
150. Lingwei Zhang, Hanjun Jiang, Xuguang Sun, Chun Zhang, Zhihua Wang, A passive RF receiving and power switch ASIC for remote power control with zero stand-by power, Asian Solid-State Circuits Conference, 2008(A-SSCC 2008), pp. 109 - 112
151. Xinkai Chen, Xiaoyu Zhang, Lingwei Zhang, Nan Qi, Hanjun Jiang, Zhihua Wang, A wireless capsule endoscopic system with a low-power controlling and processing ASIC, Asian Solid-State Circuits Conference, 2008(A-SSCC 2008), pp. 321 - 324
152. Xinkai Chen, Hanjun Jiang, XiaoWen Li, Zhihua Wang, A novel compression method for wireless image sensor node, Asian Solid-State Circuits Conference, 2007(A-SSCC 2007), pp. 184 - 187
153. Jinke Yao, Baoyong Chi, Zhihua Wang, A 7mA-1.8V, 2MHz GFSK analog demodulator with 1Mbps data rate, Asian Solid-State Circuits Conference, 2007(A-SSCC 2007), pp. 83 - 86
154. Kun Yang, Chun Zhang, Guoze Du, Jiangxiang Xie, Zhihua Wang, A Hardware-Software Co-design for H.264/AVG Decoder, Asian Solid-State Circuits Conference, 2006(A-SSCC 2006), pp. 119 - 122
155. Xiang Xie, Guolin Li, Xinkai Chen, Lu Liu, Chun Zhang, Zhihua Wang, A Low Power Digital IC Design Inside the Wireless Endoscopy Capsule, Asian Solid-State Circuits Conference, 2005(A-SSCC 2005), pp. 217 - 220
156. Baoyong Chi, Xiaolei Zhu, Ziqiang Wang, Zhihua Wang, Quadrature Oscillator with Negative-Resistance Compensated Transformer Couple, Asian Solid-State Circuits Conference, 2005(A-SSCC 2005), pp. 441 - 444
RFIC – (17)
157. Haixin Song, Zhendong Ding, Woogeun Rhee, Zhihua Wang, A Secure TOF-Based Transceiver with Low Latency and sub-cm Ranging for Mobile Authentication Applications, RFIC 2018, pp. 160-163, Philadelphia, PA, USA, 10-12 June 2018
158. Dang Liu, Xiaofeng Liu, Woogeun Rhee, Zhihua Wang, A 19.2mW 1Gb/s secure proximity transceiver with ISI pre-correction and hysteresis energy detection, 2016 IEEE Radio Frequency Integrated Circuits Symposium (RFIC 2016), pp. 75-78, 22-24 May 2016, San Francisco, California, USA
159. Xiaobao Yu, Meng Wei, Yun Yin, Ying Song, Zhihua Wang, Yichuang Sun, Baoyong Chi, A Sub-GHz low-power transceiver with PAPR-tolerant power amplifier for 802.11ah applications, 2015 IEEE Radio Frequency Integrated Circuits Symposium (RFIC 2015), pp. 231-234, 17-19 May 2015, Phoenix, Arizona, USA
160. Yang Xu, Zehong Zhang, Baoyong Chi, Qiongbing Liu, Xinwang Zhang, Zhihua Wang, Dual-mode 10MHz BW 4.8/6.3mW reconfigurable lowpass/complex bandpass CT ΣΔ modulator with 65.8/74.2dB DR for a zero/low-IF SDR receiver, 2014 IEEE Radio Frequency Integrated Circuits Symposium (RFIC 2014), pp. 313 - 316, 1-3 June 2014, Tampa, FL
161. Lixue Kuang, Baoyong Chi, Haikun Jia, Zuochang Ye, Wen Jia, Zhihua Wang, Co-design of 60GHz wideband front-end IC with on-chip Tx/Rx switch based on passive macro-modeling, Radio Frequency Integrated Circuits Symposium, 2013 (RFIC 2013), pp. 93 - 96
162. Qi Peng, Chun Zhang, Yanhong Song, Ziqiang Wang, Zhihua Wang, A low-cost, low-power UHF RFID reader transceiver for mobile applications, Radio Frequency Integrated Circuits Symposium, 2012(RFIC 2012), pp. 243 - 246
163. Yuanfeng Sun, Jun Li, Zhuo Zhang, Min Wang, Ni Xu, Hang Lv, Woogeun Rhee,
Yongming Li, Zhihua Wang, A 2.74-5.37GHz boosted-gain type-I PLL with<15% loop filter area, Radio Frequency Integrated Circuits Symposium, 2012(RFIC 2012), pp. 181 - 184
164. Bo Zhou, Hang Lv, Min Wang, Jinghui Liu, Woogeun Rhee, Yongming Li, Dongwook Kim, Zhihua Wang, A 1Mb/s 3.2-4.4GHz reconfigurable FM-UWB transmitter in 0.18?m CMOS, Radio Frequency Integrated Circuits Symposium, 2011(RFIC 2011), pp. 1 - 4
165. Meng Cao, Baoyong Chi, Chun Zhang, Zhihua Wang, A 1.2V 0.1-3GHz software-defined radio receiver front-end in 130nm CMOS, Radio Frequency Integrated Circuits Symposium, 2011(RFIC 2011), pp. 1 - 4
166. Xuguang Sun, Baoyong Chi, Chun Zhang, Ziqiang Wang, Zhihua Wang, A 1.8V 74mW UHF RFID reader receiver with 18.5dBm IIP3 and ?77dBm sensitivity in 0.18?m CMOS, Radio Frequency Integrated Circuits Symposium, 2010(RFIC 2010), pp. 597 - 600
167. Jingchao Wang, Chun Zhang, Zhihua Wang, A low power low cost fully integrated UHF RFID reader with 17.6dBm output P1dB in 0.18 ?m CMOS process, Radio Frequency Integrated Circuits Symposium, 2010(RFIC 2010), pp. 109 - 112
168. Yuanfeng Sun, Xueyi Yu, Woogeun Rhee, Sangsoo Ko, Wooseung Choo, Byeong-Ha Park, Zhihua Wang, Low-noise fractional-N PLL design with mixed-mode triple-input LC VCO in 65nm CMOS, Radio Frequency Integrated Circuits Symposium, 2010(RFIC 2010), pp. 61 - 64
169. Karthik Jayaraman, Qadeer Khan, Baoyong Chi, William Beattie, Zhihua Wang, Patrick Chiang, A self-healing 2.4GHz LNA with on-chip S11/S21 measurement/calibration for in-situ PVT compensation, Radio Frequency Integrated Circuits Symposium, 2010(RFIC 2010), pp. 311 - 314
170. Tongqiang Gao, Jingchao Wang, Chun Zhang, Baoyong Chi, Zhihua Wang, A novel CMOS transmitter front-end for mobile RFID reader, Radio Frequency Integrated Circuits Symposium, 2009(RFIC 2009), pp. 105 - 108
171. Baoyong Chi, Zhihua Wang, Wong, S.S., A Superheterodyne Receiver Front-End With On-Chip Automatically Q-Tuned Notch Filters, Radio Frequency Integrated Circuits Symposium, 2007(RFIC 2007), pp. 21 - 24
172. Shuguang Han, Baoyong Chi, Zhihua Wang, A 8.0-mW 1-Mbps ASK transmitter for wireless capsule endoscope applications, Radio Frequency Integrated Circuits Symposium, 2006(RFIC 2006)
173. Guang Chen, Haigang Feng, Haolu Xie, Rouying Zhan, Qiong Wu, Xiaokang Guan, Albert Wang, Kaoru Takasuka, Satoru Tamura, Zhihua Wang, Chun Zhang, RF characterization of ESD protection structures, Digest of Papers of Radio Frequency Integrated Circuits Symposium, 2004 (RFIC 2004), pp. 379 – 382
其他国际会议论文– (341)
174. Zekai Wu, Chengwei Wang, Yang Ding, Fule Li, Zhihua Wang, An ADC Input Buffer with Optimized Linearity, ICSICT 2018, pp. 1-3, Qingdao, China, China, 31 Oct.-3 Nov. 2018
175. Yuguang Liu, Haixin Song, Woogeun Rhee, Zhihua Wang, A 13.5mW 4Gb/s Filter-less UWB Transmitter for High Data Rate Mobile Applications, ICSICT 2018, pp. 1-3, Qingdao, China, China, 31 Oct.-3 Nov. 2018
176. Juzheng Liu, Yue Yin, Hanjun Jiang, Huili Kan, Zongwang Zhang, Ping Chen, Binjie Zhu, Zhihua Wang, Bowel Sound Detection Based on MFCC Feature and LSTM Neural Network, BioCAS 2018, pp. 1-4, Cleveland, OH, USA, 17-19 Oct. 2018
177. Zhuoyi Sun, Yingdan Li, Hanjun Jiang, Fei Chen, Zhihua Wang, A MVDR- MWF Combined Algorithm for Binaural Hearing Aid System, BioCAS 2018, pp. 1-4, Cleveland, OH, USA, 17-19 Oct. 2018
178. Mingzhu Long, Zehua Lan, Xiang Xie, Guolin Li, Zhihua Wang, Image Enhancement
Method Based on Adaptive Fraction Gamma Transformation and Color Restoration for Wireless Capsule Endoscopy, BioCAS 2018, pp. 1-4, Cleveland, OH, USA, 17-19 Oct. 2018
179. Jiawen Xue, Xiang Xie, Guolin Li, Zhihua Wang, Guided Frequency Filter For Block-DCT Compressed Capsule Endoscopic Images, BioCAS 2018, pp. 1-4, Cleveland, OH, USA, 17-19 Oct. 2018
180. Yunfei Li, Xiang Xie, Guolin Li, Zhihua Wang, Lung Nodule Segmentation Using Pleural Wall Shape, BioCAS 2018, pp. 1-4, Cleveland, OH, USA, 17-19 Oct. 2018
181. Jiannan Zhao, Cheng Hu, Chun Zhang, Zhihua Wang, Shigang Yue, A Bio-inspired Collision Detector for Small Quadcopter, IJCNN 2018, pp. 1-7, Rio de Janeiro, Brazil, 8-13 July 2018
182. Yuanhang Zhang, Chun Zhang, Mao Li, Lutong Zhao, Chaoxiang Yang, Zhihua Wang, Modified Deep Learning Approach for Layout Hotspot Detection, EDSSC 2018, pp. 1-2, Shenzhen, China, 6-8 June 2018
183. Weijia Chen, Dengjie Wang, Hong Chen, Shaojun Wei, Anping He, Zhihua Wang, An asynchronous and reconfigurable CNN accelerator, EDSSC 2018, pp. 1-2, Shenzhen, China, 6-8 June 2018
184. Wendi Yang, Hanjun Jiang, Zhihua Wang, Wen Jia, An Ultra-Low Power Temperature Sensor Based on Relaxation Oscillator in Standard CMOS, EDSSC 2018, pp. 1-2, Shenzhen, China, 6-8 June 2018
185. Shaoquan Gao, Hanjun Jiang, Zhihua Wang, Wen Jia, Low voltage low power full adder for baseband circuits in wireless systems, EDSSC 2018, pp. 1-2, Shenzhen, China, 6-8 June 2018
186. Wenao Xie, Chun Zhang, Yuanhang Zhang, Chuanbo Hu, Hanjun Jiang, Zhihua Wang, An Energy-Efficient FPGA-Based Embedded System for CNN Application, EDSSC 2018, pp. 1-2, Shenzhen, China, 6-8 June 2018
187. Wen Jia, Hanjun Jiang, Zeliang Wu, Yanshu Guo, Chun Zhang, Zhihua Wang, An interface pressure measurement system for medical compression stockings, EDSSC 2018, pp. 1-2, Shenzhen, China, 6-8 June 2018
188. Xiaodong You, Haigang Feng, Xinpeng Xing, Zhihua Wang, A Novel Power Mixer for NB-IoT Transmitter in 65-nm CMOS, EDSSC 2018, pp. 1-2, Shenzhen, China, 6-8 June 2018
189. Pengyi Cao, Xinpeng Xing, Haigang Feng, Zhihua Wang, A Novel Cascode Mixer for NB-IoT Transceiver System in 65nm CMOS, EDSSC 2018, pp. 1-2, Shenzhen, China, 6-8 June 2018
190. Guijie Zhu, Songping Mai, Xian Tang, Zhihua Wang, Simplified reconfigurable rectifier with consistent input capacitance for wireless power transfer, EDSSC 2018, pp. 1-2, Shenzhen, China, 6-8 June 2018
191. Yi Yang, Hanjun Jiang, Yanshu Guo, Zhihua Wang, A Low Power Low Noise Front End Amplifier for ECG Monitoring, EDSSC 2018, pp. 1-2, Shenzhen, China, 6-8 June 2018
192. Xingyu Fu, Songping Mai, Zhihua Wang, A Wireless Power-Efficient Charger Chip with Closed-Loop Controlling Mechanism for Implantable Biomedical System, EDSSC 2018, pp. 1-2, Shenzhen, China, 6-8 June 2018
193. Hongyu Li, Fule Li, Weitao Li, Hanjun Jiang, Zhihua Wang, Design of a 5GS/s 200 MHz BW 74.8 dB SNDR continuous-time sigma delta modulator in 28nm CMOS, ISNE 2018, pp. 1-4, Taipei, Taiwan, 7-9 May 2018
194. Yubing Bai, Xiang Xie, Guolin Li, Zhihua Wang, A fast graph based method for object segmentation in sidescan sonar image, ISNE 2018, pp. 1-4, Taipei, Taiwan, 7-9 May 2018
195. Baoyong Chi, Zheng Song, Haikun Jia, Lixue Kuang, Jianfu Lin, Zhihua Wang, CMOS circuit techniques for mm-wave communications, IWS 2018, pp. 1-3, Chengdu, China, 6-10 May 2018
196. Zhendong Ding, Woogeun Rhee, Zhihua Wang, A VCO-dedicated digital LDO with
multi-comparator coarse loop and 1-bit ΔΣ fine loop for robust frequency generation, IWS 2018, pp. 1-4, Chengdu, China, 6-10 May 2018
197. Xiaohua Huang, Han Liu, Woogeun Rhee, Zhihua Wang, A ΔΣ DPLL with 1b TDC, 4b DTC and 8-tap FIR filter for low-voltage clock generation/modulation systems, VLSI-DAT 2018, pp. 1-4, Hsinchu, Taiwan, 16-19 April 2018
198. Woogeun Rhee, Dang Liu, Yining Zhang, Zhihua Wang, Energy-efficient proprietary transceivers for IoT and smartphone-based WPAN, RFIT 2017, pp. 40 - 42, Seoul, South Korea, 30 Aug.-1 Sept. 2017
199. Han Liu, Sitao Lv, Xiaohua Huang, Woogeun Rhee, Zhihua Wang, A fractional-N BB-DPLL with auto-tuned DTC and FIR filter for noise and spur reduction, RFIT 2017, pp. 238-240, Seoul, South Korea, 30 Aug.-1 Sept. 2017
200. Fangxu Lv, Xuqiang Zheng, Shuai Yuan, Ziqiang Wang, Yajun He, Chun Zhang, Zhihua Wang, Jianye Wang, A 40-80 Gb/s PAM4 wireline transmitter in 65nm CMOS technology, MWSCAS 2017, pp. 539-542, Boston, MA, USA, 6-9 Aug. 2017
201. Yajun He, Ziqiang Wang, Han Liu, Fangxu Lv, Shuai Yuan, Chun Zhang, Zhihua Wang, Hanjun Jiang, An 8.5-12.5GHz wideband LC PLL with dual VCO cores for multi-protocol SerDes, MWSCAS 2017, pp. 791-794, Boston, MA, USA, 6-9 Aug. 2017
202. Tuo Xie, Chun Zhang, Yongming Li, Hanjun Jiang, Zhihua Wang, An enhanced TDoA approach handling multipath interference in Wi-Fi based indoor localization systems, MWSCAS 2017, pp. 160 - 163, Boston, MA, USA, 6-9 Aug. 2017
203. Chaoxiang Yang, Chun Zhang, Wenao Xie, Hanjun Jiang, Zhihua Wang, Tactile array sensor for manipulator based on the barometric chips, MWSCAS 2017, pp. 1268 - 1271, Boston, MA, USA, 6-9 Aug. 2017
204. Yubing Bai, Xiang Xie, Guolin Li, Zhihua Wang, An ensemble learning based adaptive algorithm for capsule endoscope image deblocking, MWSCAS 2017, pp. 1340 - 1343, Boston, MA, USA, 6-9 Aug. 2017
205. Shuai Yuan, Liji Wu, Ziqiang Wang, Chun Zhang, Zhihua Wang, Hanjun Jiang, A 25Gb/s serial-link repeater with receiver equalization and transmitter de-emphasis in 0.13μm SiGe BiCMOS, MWSCAS 2017, pp. 527-530, Boston, MA, USA, 6-9 Aug. 2017
206. Haikun Jia, Guang Zhu, Yipeng Wang, Zhihua Wang, Yue, C.P., A 16-Gb/s millimeter-wave CMOS transmitter with integrated optical receiver for 5G baseband-over-fiber systems, 2017 IEEE Photonics Society Summer Topical Meeting Series (SUM 2017), San Juan, Puerto Rico, 10-12 July 2017
207. Zhe Cao, Shaojie Su, Hao Tang, Yixin Zhou, Zhihua Wang, Hong Chen, IMU-based Real-time Pose Measurement system for Anterior Pelvic Plane in Total Hip Replacement Surgeries, EMBC 2017, pp. 1360-1363, July 2017
208. Shiqiang Guan, Guolin Li, Xiang Xie, Zhihua Wang, Bi-direction ICP: Fast registration method of point clouds, 2017 Fifteenth IAPR International Conference on Machine Vision Applications (MVA 2017), pp. 129 - 132, Nagoya, Japan, 8-12 May 2017
209. Yuchi Zhang, Guolin Li, Xiang Xie, Zhihua Wang, A new algorithm for fast and accurate moving object detection based on motion segmentation by clustering, 2017 Fifteenth IAPR International Conference on Machine Vision Applications (MVA 2017), pp. 444 - 447, Nagoya, Japan, 8-12 May 2017
210. Guijie Zhu, Songping Mai, Chun Zhang, Zhihua Wang, Distance and load insensitive inductive powering for implantable medical devices through wireless communication, 2017 IEEE Wireless Power Transfer Conference (WPTC 2017), pp. 3, Taipei, Taiwan, 10-12 May 2017
211. Han Liu, Woogeun Rhee, Zhihua Wang, A 10.3mW 13.6GHz phase-locked loop with boosted Gm two-stage ring VCO, ICSICT 2016, pp. 1443-1445, Hangzhou, China, 25-28 Oct. 2016
212. Haikun Jia, Zheng Song, Zhihua Wang, Baoyong Chi, Coupled resonator based
wideband millimeter-wave circuits, ICSICT 2016, pp. 142 - 145, Hangzhou, China, 25-28 Oct. 2016
213. Yingke Gu, Hanjun Jiang, Xiang Xie, Guolin Li, Zhihua Wang, An Image Compression Algorithm for Wireless Endoscopy and Its ASIC Implementation, BioCAS 2016, pp. 103-106, Shanghai, China, OCT 17-19, 2016
214. Zhi Bie, Shaolin Xiang, Mingzhu Long, Hanjun Jiang, Xiang Xie, Zhihua Wang, Image Enhancement Techniques in an Image Monitoring System for Total Knee Arthroplasty, BioCAS 2016, pp. 220-223, Shanghai, China, OCT 17-19, 2016
215. Shaojie Su, Guangli Jiang, Hong Chen, Zhihua Wang, Color Based Segmentation in Monocular System for Prosthesis Pose Estimation during Total Hip Replacement Surgery, BioCAS 2016, pp. 320-323, Shanghai, China, OCT 17-19, 2016
216. Yao Lu, Hanjun Jiang, Songping Mai, Zhihua Wang, A Wireless Charging Circuit With High Power Efficiency and Security for Implantable Devices, BioCAS 2016, pp. 328-331, Shanghai, China, OCT 17-19, 2016
217. Woogeun Rhee, Sitao Lv, Han Liu, Ni Xu, Zhihua Wang, An overview of digital-intensive DeltaSigma phase-locked loops utilizing 1-bit conversion and modulation, MWSCAS 2016, pp. 1-4, Abu Dhabi, United Arab Emirates, 16-19 Oct. 2016
218. Shaolin Xiang, Zhi Bie, Hanjun Jiang, Zhihua Wang, Wen Jia, A wireless image acquisition system for artificial knee implant surgeries, MWSCAS 2016, pp. 1-4, Abu Dhabi, United Arab Emirates, 16-19 Oct. 2016
219. Zhaoyang Weng, Jingjing Dong, Hanjun Jiang, Zhihua Wang, A 400MHz 3-10Mbps Transceiver IC with similar to 0.3 nJ/bit TX/RX Energy Efficiency for Body Area Applications, ISOCC 2016, pp. 153-154, Jeju, SOUTH KOREA, OCT 23-26, 2016
220. Xuqiang Zheng, Chun Zhang, Fangxu Lv, Feng Zhao, Shigang Yue, Ziqiang Wang, Fule Li, Zhihua Wang, A 5-50 Gb/s quarter rate transmitter with a 4-tap multiple-MUX based FFE in 65 nm CMOS, 42nd European Solid-State Circuits Conference (ESSCIRC 2016), pp. 305-308, 12-15 Sept. 2016
221. Yining Zhang, Xuwen Ni, Woogeun Rhee, Zhihua Wang, A 1.8mW 2Mb/s chirp-UWB transceiver with burst-mode transmission and slope-based detection, 2016 IEEE International Symposium on Radio-Frequency Integration Technology (RFIT 2016), pp. 1-3, 24-26 Aug. 2016
222. Xuwen Ni, Woogeun Rhee, Zhihua Wang, A 0.3mW 1Mb/s high security proximity UWB transmitter with frequency/time-domain scrambling, 2016 IEEE International Symposium on Radio-Frequency Integration Technology (RFIT 2016), pp. 1-3, 24-26 Aug. 2016
223. Zhe Cao, Shaojie Su, Hong Chen, Hao Tang, Yixin Zhou, Zhihua Wang, Pose measurement of Anterior Pelvic Plane based on inertial measurement unit in total hip replacement surgeries, 2016 38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC 2016), pp. 5801-5804, 16-20 Aug. 2016
224. Yifan Yang, Amr Ahmed, Shigang Yue, Xiang Xie, Hong Chen, Zhihua Wang, An algorithm for accurate needle orientation, 2016 38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC 2016), pp. 5095-5098, 16-20 Aug. 2016
225. Meng Ni, Fule Li, Jia Zhou, Zhijun Wang, Chun Zhang, Xian Tang, Zhihua Wang, A 12Bit 800MS/s time-interleaving pipeline ADC in 65nm CMOS, EDSSC 2016, pp. 391-394, Hong Kong, China, AUG 03-05, 2016
226. Ranran Zhou, Yining Zhang, Woogeun Rhee, Zhihua Wang, 2.4GHz 20Mb/s FSK receiver front-end and transmitter modulation PLL design for energy-efficient short-range communication, EDSSC 2016, pp. 291-294, Hong Kong, China, AUG 03-05, 2016
227. Ying Ju, Fule Li, Xiuju He, Chun Zhang, Zhihua Wang, Aperture error reduction technique for subrange SAR ADC, 2016 14th IEEE International New Circuits and Systems
Conference (NEWCAS 2016), pp. 1-4, 26-29 June 2016
228. Ning Yan, Dongmei Li, Guolin Li, Zhihua Wang, A 77.1dB/108.9dB SNDR dual-mode delta-sigma modulator, 2016 14th IEEE International New Circuits and Systems Conference (NEWCAS 2016), pp. 1-4
229. Shushu Wei, Ying Ju, Fule Li, Zhihua Wang, An 11-bit 200MS/s subrange SAR ADC with charge-compensation-based reference buffer, 2016 14th IEEE International New Circuits and Systems Conference (NEWCAS 2016), pp. 1-4, 26-29 June 2016
230. Naiwen Zhou, Linghan Wu, Ziqiang Wang, Xuqiang Zheng, Weidong Cao, Chun Zhang, Fule Li, Zhihua Wang, A 28-Gb/s transmitter with 3-tap FFE and T-coil enhanced terminal in 65-nm CMOS technology, 2016 14th IEEE International New Circuits and Systems Conference (NEWCAS 2016), pp. 1-4, 26-29 June 2016
231. Zhaoming Wu, Chun Zhang, Fule Li, Zhihua Wang, High speed serial interface transceiver controller based on JESD204B, 2016 14th IEEE International New Circuits and Systems Conference (NEWCAS 2016), pp. 1-4, 26-29 June 2016
232. Naiwen Zhou, Ke Huang, Fangxu Lve, Ziqiang Wang, Xuqiang Zheng, Chun Zhang, Fule Li, Zhihua Wang, A 76 mW 40-Gb/s SerDes transmitter with 64:1 MUX In 65-nm CMOS technology, 2016 6th International Conference on Electronics Information and Emergency Communication (ICEIEC 2016), pp. 155-158, 17-19 June 2016
233. Feng Guo, Chun Zhang, Yan Yan, Peiyi Li, Zhihua Wang, Measurement of three-dimensional deformation and load using vision-based tactile sensor, 2016 IEEE 25th International Symposium on Industrial Electronics (ISIE 2016), pp. 1252-1257, 8-10 June 2016, Santa Clara, CA
234. Peiyi Li, Zheng Song, Jianfu Lin, Meng Wei, Feng Guo, Wen Jia, Zhihua Wang, Baoyong Chi, A reconfigurable digital polar transmitter with open-loop phase modulation for Sub-GHz applications, 2016 IEEE 25th International Symposium on Industrial Electronics (ISIE 2016), pp. 1158-1161, 8-10 June 2016, Santa Clara, CA
235. Shushu Wei, Xian Gu, Fule Li, Zhihua Wang, An 11-bit 250MS/s subrange-SAR ADC in 40nm CMOS, 2016 5th International Symposium on Next-Generation Electronics (ISNE 2016), pp. 1-2, 4-6 May 2016
236. Ying Ju, Fule Li, Xian Gu, Chun Zhang, Zhihua Wang, Digital calibration technique for subrange ADC based on SAR architecture, 2016 5th International Symposium on Next-Generation Electronics (ISNE 2016), pp. 1-2, 4-6 May 2016
237. Sitao Lv, Ni Xu, Woogeun Rhee, Zhihua Wang, A hybrid frequency/phase-locked loop for versatile clock generation with wide reference frequency range, 2016 International Symposium on VLSI Design, Automation and Test (VLSI-DAT 2016), pp. 1-4, 25-27 April 2016
238. Xuwen Ni, Yining Zhang, Woogeun Rhee, Wen Jia, Zhihua Wang, A 0.5mW 1Mb/s multi-channel chirp-UWB transmitter with burst-mode transmission and optimized digital gradient, 2016 IEEE MTT-S International Wireless Symposium (IWS 2016), pp. 1-4, 14-16 March 2016
239. Tong Zhang, Chun Zhang, Sheng Zhang, Zhihua Wang, On the performance of wireless source-location using TDOA measurements under poor geometry, 2016 13th IEEE Annual Consumer Communications & Networking Conference (CCNC 2016), pp. 964-967, 9-12 Jan. 2016
240. Ming Cai, Qi Xiao, Yuyun Chen, Wenxing Cheng, Xiaobing Zhou, Zhihua Wang, Research and application of network load stress test for smart substation, 2015 5th International Conference on Electric Utility Deregulation and Restructuring and Power Technologies (DRPT 2015), pp. 1125-1130, 26-29 Nov. 2015
241. Chao Yang, Shaoquan Gao, Jingjing Dong, Hanjun Jiang, Woogeun Rhee, Zhihua Wang, A 2.4 GHz two-point Δ-Σ modulator with gain calibration and AFC for WPAN/BAN applications, 2015 IEEE 11th International Conference on ASIC (ASICON 2015), pp. 1-4, 3-
6 Nov. 2015
242. Meng Ni, Fule Li, Weitao Li, Chun Zhang, Zhihua Wang, A high-speed analog front-end circuit used in a 12bit 1GSps pipeline ADC, 2015 IEEE 11th International Conference on ASIC (ASICON 2015), pp. 1-4, 3-6 Nov. 2015
243. Baoyong Chi, Lixue Kuang, Haikun Jia, Zhiping Wang, Zhihua Wang, A 60-GHz wireless transceiver with dual-mode power amplifier for IEEE 802.11ad in 65nm CMOS, 2015 IEEE 11th International Conference on ASIC (ASICON 2015), pp. 1-4, 3-6 Nov. 2015
244. Jintao Li, Ming Liu, Hong Chen, Zhihua Wang, A 0.3V-to-1.1V standard cell library in 40nm CMOS, 2015 IEEE 11th International Conference on ASIC (ASICON 2015), pp. 1-4, 3-6 Nov. 2015
245. Heng Liu, Hanjun Jiang, Kai Yang, Zhexiang Chi, Fule Li, Chun Zhang, Zhihua Wang, A fully integrated wireless SoC for in-body pH and temperature continuous monitoring, 2015 International SoC Design Conference (ISOCC 2015), pp. 109-110, 2-5 Nov. 2015
246. Shaojie Su, Jiyang Gao, Zhe Weng, Hong Chen, Zhihua Wang, Live demonstration: A smart trial for hip range of motion estimation in total hip replacement surgery, 2015 IEEE Biomedical Circuits and Systems Conference (BioCAS 2015), pp. 1-5, 22-24 Oct. 2015
247. Yue Yin, Wendi Yang, Hanjun Jiang, Zhihua Wang, Bowel sound based digestion state recognition using artificial neural network, 2015 IEEE Biomedical Circuits and Systems Conference (BioCAS 2015), pp. 1-4, 22-24 Oct. 2015
248. Shaojie Su, Jiyang Gao, Zhe Cao, Hong Chen, Zhihua Wang, Smart trail with camera and inertial measurement unit for intraoperative estimation of hip range of motion in total hip replacement surgery, 2015 IEEE Biomedical Circuits and Systems Conference (BioCAS 2015), pp. 1-4, 22-24 Oct. 2015
249. Zhihua Wang, Hanjun Jiang, Developing innovation — Wireless transceiver design for implantable medical devices, 2015 IEEE MTT-S 2015 International Microwave Workshop Series on RF and Wireless Technologies for Biomedical and Healthcare Applications (IMWS-BIO 2015), pp. 16-17, 21-23 Sept. 2015
250. Haikun Jia, Baoyong Chi, Zhihua Wang, An 8.2 GHz triple coupling low-phase-noise class-F QVCO in 65nm CMOS, ESSCIRC Conference 2015-41st European Solid-State Circuits Conference (ESSCIRC 2015), pp. 124-127, 14-18 Sept. 2015
251. Shuai Yuan, Liji Wu, Ziqiang Wang, Xuqiang Zheng, Peng Wang, Wen Jia, Chun Zhang, Zhihua Wang, A 48mW 15-to-28Gb/s source-synchronous receiver with adaptive DFE using hybrid alternate clock scheme and baud-rate CDR in 65nm CMOS, ESSCIRC Conference 2015-41st European Solid-State Circuits Conference (ESSCIRC 2015), pp. 144-147, 14-18 Sept. 2015
252. Ni Xu, Sitao Lv, Woogeun Rhee, Zhihua Wang, A digital-intensive F/PLL-based two-point modulator with a constant-gain DCO for linear FMCW generation, 2015 IEEE International Symposium on Radio-Frequency Integration Technology (RFIT 2015), pp. 193-195, 26-28 Aug. 2015
253. Yudong Zhang, Woogeun Rhee, Zhihua Wang, Taeik Kim, Hojin Park, A 0.55V 100MHz ADPLL with DSM LDO and Relaxation DCO in 65nm CMOS, 2015 IEEE International Symposium on Radio-Frequency Integration Technology (RFIT 2015), pp. 190-192, 26-28 Aug. 2015
254. Jiyang Gao, Shaojie Su, Hong Chen, Zhihua Wang, Orientation and depth estimation for femoral components using image sensor, magnetometer and inertial sensors in THR surgeries, 2015 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC 2015), pp. 2737-2740, 25-29 Aug. 2015
255. Heng Liu, Hanjun Jiang, Yiyu Shen, Woogeun Rhee, Zhihua Wang, A delta-sigma-based transmitter utilizing FIR-embedded digital power amplifiers, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2015), pp. 1 - 4, 2-5 Aug. 2015,
Fort Collins, CO, USA
256. Jifang Wu, Fule Li, Weitao Li, Chun Zhang, Zhihua Wang, A 14-bit 200MS/s low-power pipelined flash-SAR ADC, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2015), pp. 1 - 4, 2-5 Aug. 2015, Fort Collins, CO, USA
257. Weidong Cao, Ziqiang Wang, Dongmei Li, Xuqiang Zheng, Fule Li, Chun Zhang, Zhihua Wang, A 40Gb/s 39mW 3-tap adaptive closed-loop decision feedback equalizer in 65nm CMOS, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2015), pp. 1 - 4, 2-5 Aug. 2015, Fort Collins, CO, USA
258. Weidong Cao, Ziqiang Wang, Dongmei Li, Xuqiang Zheng, Ke Huang, Shuai Yuan, Fule Li, Zhihua Wang, A 40Gb/s 27mW 3-tap closed-loop decision feedback equalizer in 65nm CMOS, IEEE International New Circuits and Systems Conference (NEWCAS 2015), pp. 1 - 4, 7-10 June 2015, Grenoble
259. Weitao Li, Fule Li, Ya Wang, Shengjing Li, Chun Zhang, Zhihua Wang, A power-efficient 14-bit 250MS/s pipelined ADC, IEEE International New Circuits and Systems Conference (NEWCAS 2015), pp. 1 - 4, 7-10 June 2015, Grenoble
260. Shengjing Li, Weitao Li, Fule Li, Zhihua Wang, Chun Zhang, A digital blind background calibration algorithm for pipelined ADC, IEEE International New Circuits and Systems Conference (NEWCAS 2015), pp. 1 - 4, 7-10 June 2015, Grenoble
261. Chun Zhao, Chun Zhang, Xijin Zhao, Hong Chen, Zhihua Wang, Time difference of arrival estimation based on wavelet transform, IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC 2015), pp. 515 - 518, 1-4 June 2015, Singapore
262. Weidong Cao, Xuqiang Zheng, Ziqiang Wang, Dongmei Li, Fule Li, Shigang Yue, Zhihua Wang, A 15Gb/s wireline repeater in 65nm CMOS technology, IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC 2015), pp. 590 - 593, 1-4 June 2015, Singapore
263. Ping Chen, Chun Zhang, Hanjun Jiang, Zhihua Wang, Shigang Yue, High performance low complexity BCH error correction circuit for SSD controllers, IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC 2015), pp. 217 – 220, 1-4 June 2015, Singapore
264. Weidong Cao, Ziqiang Wang, Dongmei Li, Fule Li, Zhihua Wang, A 40Gb/s adaptive equalizer with amplitude approaching technique in 65nm CMOS, IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC 2015), pp. 451 - 454, 1-4 June 2015, Singapore
265. Shihao You, Fule Li, Chun Zhang, Zhihua Wang, High speed serial interface transmitter controller based on JESD204B for 1GSPS ADCs, IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC 2015), pp. 87 - 90, 1-4 June 2015, Singapore
266. Yanfeng Li, Yutao Liu, Woogeun Rhee, Zhihua Wang, A high-PSRR ADPLL with self-regulated GRO TDC and DCO-dedicated voltage regulator, International Symposium on VLSI Design, Automation and Test (VLSI-DAT 2015), pp. 1 - 4, 27-29 April 2015, Hsinchu
267. Xiaoyong Li, Sitao, L.V., Xiaofeng Liu, Ni Xu, Woogeun Rhee, Wen Jia, Zhihua Wang, A 10 Mb/s hybrid two-point modulator with front-end phase selection and dual-path DCO modulation, IEEE International Wireless Symposium (IWS 2015), pp. 1 - 4, March 30 2015-April 1 2015, Shenzhen
268. Xiangyu Zhong, George Sun, Zhihua Wang, Wenshen Wang, A wide tuning 10 Ghz VCO and a high frequency divider design, IEEE International Wireless Symposium (IWS 2015), pp. 1 - 4, March 30 2015-April 1 2015, Shenzhen
269. Jiyang Gao, Hong Chen, Shaojie Su, Zhihua Wang, Relative Pose Estimation for the Femoral Component in Computer Assisted Total Hip Replacement Surgeries, 2015 5th International Conference on Biomedical Engineering and Technology (ICBET 2015), pp. 25-32, Seoul, South Korea, MAR 10, 2015
270. Jun Li, Ni Xu, Yuanfeng Sun, Rhee, W., Zhihua Wang, A 6.5mW, wide band dual-path
LC VCO design with mode switching technique in 130nm CMOS, IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF 2015), pp. 7 - 10, 26-28 Jan. 2015, San Diego, CA
271. Zhou Peng, Chenxi Han, Dongmei Li, Zhihua Wang, A 6bit 550Ms/s small area low power successive approximation ADC, 2014 International SoC Design Conference (ISOCC 2014), pp. 200 - 201, 3-6 Nov. 2014, Jeju
272. Chunying Xue, Ya Wang, Fule Li, Chun Zhang, Zhihua Wang, An 11-bit 200MS/s SAR ADC IP for wireless comunacation SOC, IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT 2014), pp. 1 - 3, 28-31 Oct. 2014, Guilin
273. Jifang Wu, Fule Li, Weitao Li, Chun Zhang, Zhihua Wang, A 14b 200MHz power-efficient pipelined flash-SAR ADC, IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT 2014), pp. 1 - 3, 28-31 Oct. 2014, Guilin
274. Zhicheng Wang, Xican Chen, Yiyu Shen, Woogeun Rhee, Zhihua Wang, A 2.5–4.5 GHz CMOS fast settling PLL for IR-UWB radar applications, IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT 2014), pp. 1 - 3, 28-31 Oct. 2014, Guilin
275. Zhicheng Wang, Xican Chen, Yiyu Shen, Woogeun Rhee, Zhihua Wang, A 3.1–4.8-GHz delay-line-based frequency-hopping IR-UWB transmitter in 65-NM CMOS technology, IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT 2014), pp. 1 - 3, 28-31 Oct. 2014, Guilin
276. Huanhuan Li, Guolin Li, Xiang Xie, Yadong Huang, Zhihua Wang, Omnidirectional wireless power combination harvest for wireless endoscopy, IEEE Biomedical Circuits and Systems Conference (BioCAS 2014), pp. 420 - 423, 22-24 Oct. 2014, Lausanne
277. Linghan Wu, Ziqiang Wang, Xuqiang Zheng, Ke Huang, Chun Zhang, Zhihua Wang, Co-design of 40Gb/s equalizers for wireline transceiver in 65nm CMOS technology, IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC 2014), pp. 1 - 2, 18-20 June 2014, Chengdu
278. Shuai Yuan, Ziqiang Wang, Xuqiang Zheng, Liji Wu, Chun Zhang, Zhihua Wang, A 10Gb/s speculative decision feedback equalizer with a novel implementation of adaption in 65nm CMOS technology, IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC 2014), pp. 1 - 2, 18-20 June 2014, Chengdu
279. Yibin Wang, Chenxi Han, Dongmei Li, Zhihua Wang, A 14b continuous-time delta-sigma modulator with 2MHz signal bandwidth, IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC 2014), pp. 1 - 2, 18-20 June 2014, Chengdu
280. Peng Wang, Xuqiang Zheng, Ziqiang Wang, Chun Zhang, Zhihua Wang, A 40Gbps quarter rate CDR using CMOS-style signal alignment strategy in 65nm CMOS, IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC 2014), pp. 1 - 2, 18-20 June 2014, Chengdu
281. Qing Wang, Libing Zhou, Zhou Peng, Dongmei Li, Zhihua Wang, A 12-b 100MS/s low-power successive approximation register ADC in 65nm COMS, IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC 2014), pp. 1 - 2, 18-20 June 2014, Chengdu
282. Chenlong Hou, Ziqiang Wang, Ke Huang, Chun Zhang, Zhihua Wang, A 20 GHz PLL for 40 Gbps SerDes application with 4 bit switch-capacitor adaptive controller, IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC 2014), pp. 1 - 2, 18-20 June 2014, Chengdu
283. Qing Ding, Pengpeng Yuan, Dongmei Li, Zhihua Wang, A sub-1-V ultra-low power full CMOS bandgap reference woking in subthreshold region, IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC 2014), pp. 1 - 2, 18-20 June 2014, Chengdu
284. Xiaoming Qi, Dongmei Li, Zhihua Wang, A 1-V 25-μW low-noise CMOS
programmable gain pre-amplifier for digital hearing aid, IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC 2014), pp. 1 - 2, 18-20 June 2014, Chengdu
285. Linghan Wu, Shuai Yuan, Xuqiang Zheng, Ziqiang Wang, Chun Zhang, Zhihua Wang, A 10Gb/s source-synchronous transmitter in 65nm CMOS technology, IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC 2014), pp. 1 - 2, 18-20 June 2014, Chengdu
286. Lili Xu, Chenchen Zhao, Fule Li, Chun Zhang, Zhihua Wang, A improved frontend for high-speed SHA-less pipelined ADC, IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC 2014), pp. 1 - 2, 18-20 June 2014, Chengdu
287. Peng Wang, Ziqiang Wang, Chun Zhang, Zhihua Wang, Data lane design for transmitter of 4.8Gbps serdes in 65nm CMOS, IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC 2014), pp. 1 - 2, 18-20 June 2014, Chengdu
288. Jiyang Gao, Shaojie Su, Hong Chen, Hanjun Jiang, Chun Zhang, Zhihua Wang, Hao Tang, Yinxin Zhou, Estimation of the relative pose of the femoral and acetabular components in a visual aided system for total hip replacement surgeries, NEWCAS 2014, pp. 81 - 84, 22-25 June 2014, Trois-Rivieres, QC
289. Wei Zhang, Yizhi Han, Fei Chen, Bo Zhou, Xican Chen, Woogeun Rhee, Zhihua Wang, A 3.5-4GHz FMCW radar transceiver design with phase-domain oversampled ranging by utilizing a 1-bit ΔΣ TDC, VLSI-DAT 2014, pp. 1-4, 28-30 April 2014, Hsinchu
290. Yu Li, Fei Chen, Woogeun Rhee, Zhihua Wang, A chirp-UWB transceiver with embedded bulk PPM for energy efficient data transmission, IWS 2014, pp. 1 - 4, 24-26 March 2014, Xi'an
291. Yiyu Shen, Xican Chen, Woogeun Rhee, Zhihua Wang, A second-order multi-bit ΔΣ TDC for high resolution IR-UWB radar systems, IWS 2014, pp. 1 - 4, 24-26 March 2014, Xi'an
292. Xinwang Zhang, Baoyong Chi, Bingqiao Liu, Zhihua Wang, ?80dBm?0dBm dynamic range, 30mV/dB detection sensitivity piecewise RSSI for SDR/CR receivers, MWSCAS 2014, pp. 89 - 92, 3-6 Aug. 2014, College Station, TX
293. Zhexiang Chi, Hanjun Jiang, Jingpei Xia, Heng Liu, Zhaoyang Weng, Jingjing Dong, Kai Yang, Zhihua Wang, A smart capsule for in-body pH and temperature continuous monitoring, MWSCAS 2014, pp. 314 - 317, 3-6 Aug. 2014, College Station, TX
294. Qing Yang, Songping Mai, Yixin Zhao, Zhijun Wang, Chun Zhang, Zhihua Wang, An on-chip security guard based on zero-power authentication for implantable medical devices, MWSCAS 2014, pp. 531 - 534, 3-6 Aug. 2014, College Station, TX
295. Xunxun Zhu, Jian Cai, Yu Chen, Yingke Gu, Xiang Xie, Qian Wang, Zhihua Wang, Xiaofeng Sun, Lixi Wan, The miniaturization of a micro-ball endoscope by SiP approach, ECTC 2014, pp. 1378 - 1383, 27-30 May 2014, Orlando, FL
296. Abdolrahman Attar, Xiang Xie, Chun Zhang, Zhihua Wang, Shigang Yue, Wireless Micro-Ball endoscopic image enhancement using histogram information, EMBC 2014, pp. 3337 - 3340, 26-30 Aug. 2014, Chicago, IL
297. Huiying Zhuo, Yu Li, Woogeun Rhee, Zhihua Wang, A 1.5GHz all-digital frequency-locked loop with 1-bit ΔΣ frequency detection in 0.18μm CMOS, VLSI-DAT 2014, pp. 1 - 4, 28-30 April 2014, Hsinchu
298. Zheng Song, Nan Qi, Baoyong Chi, Zhihua Wang, A multi-mode reconfigurable analog baseband with I/Q calibration for GNSS receivers, ASP-DAC 2014, pp. 29 - 30, 20-23 Jan. 2014, Singapore
299. Yu Li, Fei Chen, Dang Liu, Xiaoyong Li, Yang Li, Yudong Zhang, Zhicheng Wang, Woogeun Rhee, Zhihua Wang, A 1.6Mb/s 3.75-4.25GHz chirp-UWB transceiver with enhanced spectral efficiency in 0.18μm CMOS, RFIT 2014, pp. 1 - 3, 27-30 Aug. 2014, Hefei
300. Shuai Yuan, Ziqiang Wang, Xuqiang Zheng, Ke Huang, Liji Wu, Zhihua Wang, A 10-Gb/s simplified transceiver with a quarter-rate 4-tap decision feedback equalizer in 0.18-μm CMOS technology, ASICON 2013, pp. 1 - 4, 28-31 Oct. 2013, Shenzhen
301. Linghan Wu, Ziqiang Wang, Ke Huang, Shuai Yuan, Xuqiang Zheng, Chun Zhang, Zhihua Wang, A 10Gb/s analog equalizer in 0.18um CMOS, ASICON 2013, pp. 1 - 4, 28-31 Oct. 2013, Shenzhen
302. Yingying Wang, Chun Zhang, Zhihua Wang, Rate distortion Multiple Instance Learning for image classification, ICIP 2013, pp. 3235 - 3238, 15-18 Sept. 2013, Melbourne, VIC
303. Jinkuang Cheng, Yangdong Deng, Hongying Meng, Zhihua Wang, A facial expression based continuous emotional state monitoring system with GPU acceleration, 10th IEEE International Conference and Workshops on Automatic Face and Gesture Recognition (FG) 2013, pp. 1 - 6, 22-26 April 2013, Shanghai
304. Zhihua Wang, Hanjun Jiang, Wireless intelligent sensor system for fetal heart rate tracing through body sound monitoring on a pregnant woman, 2013 IEEE MTT-S International Microwave Workshop Series on RF and Wireless Technologies for Biomedical and Healthcare Applications (IMWS-BIO 2013), pp. 1 - 3, 9-11 Dec. 2013, Singapore
305. Kai Yang, Zhuan He, Wendi Yang, Qi Tang, Dongmei Li, Zhihua Wang , Qingliang Lin, Wen Jia, Heart Sound Denoising Using Computational Auditory Scene Analysis for a Wearable Stethoscope, MWSCAS 2013, pp. 1220 - 1223
306. Yun Yin, Baoyong Chi, Zhihua Wang, A 0.1-1.5GHz dual-mode Class-AB/Class-F power amplifier in 65nm CMOS, MWSCAS 2013, pp. 372 - 375
307. Chenchen Zhao, Lili Xu, Fule Li, Zhihua Wang, An efficient calibration technique for pipeline ADC, MWSCAS 2013, pp. 669 - 672
308. Dang Liu, Fei Chen, Woogeun Rhee, Zhihua Wang, An FM-UWB transceiver with M-PSK subcarrier modulation and regenerative FM demodulation, MWSCAS 2013, pp. 936 - 939
309. Zhihua Wang, Hanjun Jiang, Kai Yang, Lingwei Zhang, Jianjun Wei, Fule Li, Baoyong Chi, Chun Zhang, Shouhao Wu, Qingliang Lin, Wen Jia, Lifetime Tracing of Cardiopulmonary Sounds with Ultra-Low-Power Sound Sensor Stick Connected to Wireless Mobile Network, NEWCAS 2013, pp. 1 - 4
310. Yu Huang, Chun Zhang, Zuozhao Li, Zhihua Wang, A high-resolution time interval measurement chip in underground positioning system, NEWCAS 2013, pp. 1 - 4
311. Zhihua Wang, Kai Yang, Wan Wang, Hanjun Jiang, Shouhao Wu, Qingliang Lin, Wen Jia, Sound monitoring based wireless healthcare and a typical implmenation for heart rate monitoring, EDSSC 2013, pp. 1 - 2
312. Yingying Chi, Dongmei Li, Zhihua Wang, A 16-bit 1MS/s 44mW successive approximation register analog-to-digital converter achieving signal-to-noise-and-distortion-ratio of 94.3dB, EDSSC 2013, pp. 1 - 2
313. Nan Qi, Baoyong Chi, Yang Xu, Zhou Chen, Jun Xie, Zheng Song, Zhihua Wang, A 180nm fully-integrated dual-channel reconfigurable receiver for GNSS interoperations, ESSCIRC 2013, pp. 177 - 180
314. Tianjia Sun, Xiang Xie, Zhihua Wang, Design challenges of the wireless power transfer for medical microsystems, IEEE-IWS 2013, pp. 1 - 4
315. Kunzhi Yu, Xuqiang Zheng, Ke Huang, Ma Xuan, Ziqiang Wang, Chun Zhang, Zhihua Wang, A 6.4 Gb/s source synchronous receiver core with variable offset equalizer in 65nm CMOS, VLSI-DAT 2013, pp. 1 - 4
316. Hang Lv, Bo Zhou, Dang Liu, Woogeun Rhee, Yongming Li, Zhihua Wang, A 5.2-11.8MHz octa-phase relaxation oscillator for 8-PSK FM-UWB transceiver systems, VLSI-DAT 2013, pp. 1 - 4
317. Kai Yang, Hanjun Jiang, Jingjing Dong, Chun Zhang, Zhihua Wang, An adaptive real-
time method for fetal heart rate extraction based on phonocardiography, BioCAS 2012, pp. 356-359
318. Pengfei Zhang, Dan Wang, Xiang Xie, Guolin Li, Yingke Gu, Tianjia Sun, Zhihua Wang, A method for the generation of small intestine map based on endoscopic Micro-Ball, BioCAS 2012, pp. 276 - 279
319. Yingke Gu, Xiang Xie, Guolin Li, Tianjia Sun, Dan Wang, Zheng Yin, Yangdong Deng, Zhihua Wang, Design of Micro-Ball endoscopy system, BioCAS 2012, pp. 208 - 211
320. Zheng Yin, Guolin Li, Xiang Xie, Yingke Gu, Jun Hu, Dan Wang, Zhihua Wang, A flexible attitude system for wireless Micro-Ball endoscopy, BioCAS 2012, pp. 5 - 8
321. Jia Gao, Hanjun Jiang, Lingwei Zhang, Jingjing Dong, Zhihua Wang, A Programmable Low-Pass Filter with Adaptive Miller Compensation for Zero-IF Transceiver, MWSCAS 2012, pp. 226 - 229
322. Yizhi Han, Woogeun Rhee, Zhihua Wang, A PVT-Insensitive Self-Dithered TDC Design by Utilizing a ΔΣDLL, MWSCAS 2012, pp. 542 - 545
323. Pan Wu, Chun Zhang, Caifeng Wei, Hanjun Jiang, Zhihua Wang, A Baseband Transceiver for Multi-mode and Multi-band SoC, MWSCAS 2012, pp. 770 - 773
324. Ke Huang, Ziqiang Wang, Xuqiang Zheng, Xuan Ma, Kunzhi Yu, Chun Zhang, Zhihua Wang, A novel clock and data recovery scheme for 10Gbps source synchronous receiver in 65nm CMOS, MWSCAS 2012, pp. 932 - 935
325. Kunzhi Yu, Ziqiang Wang, Xuan Ma, Xuqiang Zheng, Chun Zhang, Zhihua Wang, A 6.4 Gb/s data lane design for forwarded clock receiver in 65nm CMOS, MWSCAS 2012, pp. 936 - 939
326. Hui Jiang, Ziqiang Wang, Liyuan Liu, Chun Zhang, Zhihua Wang, A Combined Low Power SAR Capacitance-to-Digital/Analog-to-Digital Converter for Multisensory System, MWSCAS 2012, pp. 1000 - 1003
327. Yizhi Han, Woogeun Rhee, Zhihua Wang, Design and Analysis of a Robust All-Digital Clock Generation System with a DLL-based TDC, CECNet 2012, pp. 3152 - 3156
328. Huamin Cao, Ming Liu, Hong Chen, Xiang Zheng, Cong Wang, Zhihua Wang, Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy, CECNet 2012, pp. 2565 - 2568
329. Deyuan Lin, Ni Xu, Woogeun Rhee, Zhihua Wang, An 11.7-17.2GHz digitally-controlled oscillator in 65nm CMOS for high-band UWB applications, ICSICT 2012, pp. 1 - 3
330. Dan Wang, Xiang Xie, Guolin Li, Yingke Gu, Zheng Yin, Zhihua Wang, Research on 2D Representation Method of Wireless Micro-Ball Endoscopic Images, EMBC 2012, pp. 1145 - 1148.
331. Songping Mai, Zhijun Wang, Chun Zhang, Zhihua Wang, A wirelessly programmable chip for multi-channel neural stimulation, EMBC 2012, pp. 6595 - 6599
332. Caifeng Wei, Chun Zhang, Pan Wu, Hanjun Jiang, Zhihua Wang, A 0.18 um CMOS Reconfigurable Digital Baseband Transceiver with 2FSK for RFID, ASID 2012, pp. 1 - 4
333. Rui Wang, U-Fat Chio, Sai-Weng Sin, U. Seng-Pan, Zhihua Wang, Rui Paulo Martins, A 12-bit 110MS/s 4-stage Single-Opamp Pipelined SAR ADC with Ratio-Based GEC Technique, ESSCIRC 2012, pp. 265 - 268
334. Guohe Yin, He-Gong Wei, U-Fat Chio, Sai-Weng Sin, U. Seng-Pan, Zhihua Wang, R.P. Martins, A 0.024 mm2 4.9 fJ 10-bit 2 MS/s SAR ADC in 65 nm CMOS, ESSCIRC 2012, pp. 377 - 380
335. Yuhui He, Xijin Zhao, Chun Zhang, Zhihua Wang, A Fully Integrated Chip-ID Tag Used in Chip Information Identification, RFID 2012, pp.172 - 176
336. Chaojun Ye, Chun Zhang, Hong Chen, Zhihua Wang, A UHF near-field RFID system with contactless inductively coupled antenna, RFIT 2012, pp. 131 - 133
337. Fei Chen, Wei Zhang, Woogeun Rhee, Jongjin Kim, Dongwook Kim, Zhihua Wang, A 3.8mW, 3.5-4GHz regenerative FM-UWB receiver with enhanced linearity by utilizing a wideband LNA and dual bandpass filters, RFIT 2012, pp. 150 - 152
338. Ming Liu, Xu Zhang, Hong Chen, Chun Zhang, Zhihua Wang, A fast computable delay model for subthreshold circuit, CCECE 2012, pp. 1 - 4
339. Zhihua Wang, Hong Chen, Ming Liu, Hanjun Jiang, Tianjia Sun, Xu Zhang, A wirelessly ultra-low-power system for equilibrium measurements in total hip replacement surgery, NEWCAS 2012, pp. 141 - 144
340. Kai Tong, Yingke Gu, Guolin Li, Xiangxie, Shouhao Liu, Kai Zhao, Zhihua Wang, A fast algorithm of 4-point floating DCT in image/video compression, ICALIP 2012, 872 - 875
341. Yankai Wang, Caifeng Wei, Chun Zhang, Zhihua Wang, Design of a Reconfigurable 2.4G RFID Test System Based on Virtual Instrument, ICEICE 2012, pp. 490 - 494
342. Hongyan Song, Qi Peng, Chun Zhang, Zhihua Wang, A 900 MHz, 20 dBm, 40% PAE Single-ended CMOS Class E Power Amplifier Integrated in an UHF RFID Reader, ICEICE 2012, pp. 22 - 25
343. Jia Wang, Dingguo Wei, Yan Cui, Chun Zhang, Zhihua Wang, Design and Implementation of a Reconfigurable UHF RFID Test System, ICEICE 2012, pp. 435 - 438
344. Xiaopeng Li, Cheng Zhang, Chun Zhang, Zhihua Wang, Digital Baseband Design of RFID Reader Based on 6C Protocol, ICEICE 2012, pp. 295 - 298
345. Lu Li, Chun Zhang, Caifeng Wei, Pan Wu, Zhihua Wang, A Reconfigurable Wireless Digital Phase Modulator and Demodulator, ICEICE 2012, pp. 97 - 100
346. Songping Mai, Yixin Zhao, Chun Zhang, Zhihua Wang, A time-frequency aware cochlear implant: algorithm and system, ISNN 2012, pp. 159-168
347. Ke Zhao, Hanqing Luo, Hong Chen, Ming Liu, Zhihua Wang, A SoC for Pressure Balance Measurement Application in Total Knee Arthroplasty, AASRI Conference on Computational Intelligence and Bioinformatics (CIB 2012), pp. 267 - 275
348. Dan Wang, Xiang Xie, Guolin Li, Danqing Li, Zhihua Wang, A Low Complexity Method of Real-Time Intestinal Lumen Detection for the Wireless Endoscopy, iCBBE 2012, pp. 386 – 389
349. Jigang Shao, Hanjun Jiang, Chun Zhang, Zhihua Wang, Medium Access Controller Design for Wireless Body Area Network, 2011 4th IEEE International Conference on Computer Science and Information Technology(ICCSIT 2011), Chengdu, China, JUN 10-12, 2011
350. Mengyu Liu, Fule Li, Chun Zhang, Zhihua Wang, A Wide Tuning Range Phase-Locked Loop, 2011 4th IEEE International Conference on Computer Science and Information Technology(ICCSIT 2011), Chengdu, China, JUN 10-12, 2011
351. Zhijie Chen, Peng Zhang, Hegong Wei, Sai-Weng Sin, Seng-Pan U, Rui Paulo Martins, Zhihua Wang, Noise shaping implementation in two-step/SAR ADC architectures based on delayed quantization error, MWSCAS 2011, pp. 1 - 4
352. Peng Zhang, Zhijie Chen, He-Gong Wei, Sai-Weng Sin, Seng-Pan U, Zhihua Wang, Rui Paulo Martins, A Charge Pump Based Timing-Skew Calibration for Time-Interleaved ADC, 54th IEEE International Midwest Symposium on Circuits and Systems, MWSCAS 2011, pp. 1 - 4
353. Kaimin Zhou, Ziqiang Wang, Fule Li, Chun Zhang, Zhihua Wang, A low-power high-linearity symmetrical readout circuit for capacitive sensors, MWSCAS 2011, pp.1 - 4
354. Zhihua Wang, Hanjun Jiang, Xiang Xie, Hong Chen, Baoyong Chi, Chun Zhang, Key Technologies in the Integrated Circuit Design for the Construction of a Wireless Healthcare System, MWSCAS 2011, pp. 1 - 4
355. Ni Xu, Zhuo Zhang, Yuanfeng Sun, Woogeun Rhee, Zhihua Wang, Technology-Friendly Phase-Locked Loops, MWSCAS 2011, pp. 1 - 4
356. Binjie Zhu, Hanjun Jiang, Liyuan Liu, Jigang Shao, Liwei Deng, Fule Li, Chun Zhang,
Zhihua Wang, A Wireless SoC for Alimentary Canal pH Value Continuously Monitoring, MWSCAS 2011, pp. 1 - 4
357. Yafei Ye, Liyuan Liu, Fule Li, Dongmei Li, Zhihua Wang, An 8-bit 1MHz Successive Approximation Register (SAR) A/D with 7.98 ENOB, ASID 2011, pp.139 - 142.
358. Ting Li, Fule Li, Chun Zhang, Zhihua Wang, A 14bit 10MSps Low Power Pipelined ADC With 0.99pJ/step FOM, ASID 2011, pp.150 - 153
359. Rui Wang, U-Fat Chio, Chi-Hang Chan, Li Ding, Sai-Weng Sin, Seng-Pan U, Zhihua Wang, Rui Paulo Martins, A time-efficient dither-injection scheme for pipelined SAR ADC, PrimeAsia 2011, pp. 9 - 12
360. Yafei Ye, Ting Li, Zhihua Wang, Liyuan Liu, Dongmei Li, A hardware-effective digital decimation filter implementation for 24-bit ΔΣ ADC, PrimeAsia 2011, pp. 13 - 16
361. Guannan Xu, Chen Jia, Chun Zhang, Zhihua Wang, A Digital Sliding Mode Controller for Switching Power Supply Converters, ASICON 2011, pp. 994 - 997
362. Liyuan Liu, Dongmei Li, Yafei Ye, Zhihua Wang, A 92.4dB SNDR 24kHz ΔΣ modulator consuming 352μW, ISLPED 2011, pp. 351 - 356
363. Ming Liu, Hong Chen, Xu Zhang, Chun Zhang, Hanjun Jiang, Zhihua Wang, Low-power SoC design for Ligament Balance Measuring System in Total Knee Arthroplasty, EMBC 2011, pp. 5860 - 5863
364. Min Wang, Bo Zhou, Woogeun Rhee, Zhihua Wang, Continuously Auto-Tuned and Self-Ranged Dual-path PLL design with Hybrid AFC, ICICDT 2011, pp. 1 - 4
365. Jun Li, Bo Zhou, Yuanfeng Sun, Woogeun Rhee, Zhihua Wang, Reconfigurable, Spectrally Efficient, High Data Rate IR-UWB Transmitter Design Using a Δ-Σ PLL Driven ILO and a 7-Tap FIR Filter, VLSI-DAT 2011, pp. 1 - 4
366. Liyuan Liu, Dongmei Li, Yafei Ye, Zhihua Wang, Analysis and simulation of a 2nd order ΔΣ modulator with single-comparator multi-bit quantizer, RFIT 2011, pp. 189 - 192
367. Woogeun Rhee, Bo Zhou, Zhihua Wang, Fractional-N frequency synthesis: Overview and design perspectives, RFIT 2011, pp. 125 - 128
368. Zhang Xu, Hong Chen, Ming Liu, Chun Zhang, Zhihua Wang, Sensor interface with single-line quasi-digital output for ligament balance measuring system, BioCAS 2011, 377 - 380
369. Chengwen Liu, Rui He, Xueyi Yu, Woogeun Rhee, Zhihua Wang, A Latency-Proof Quantization Noise Reduction Method for Digitally-Controlled Ring Oscillators, MWSCAS 2010, pp. 97 - 100
370. Xueyi Yu, Jian Qiao, Woogeun Rhee, Joon-Young Park, Kyongsu Lee, Zhihua Wang, A Semi-Digital Cascaded CDR With Fast Phase Acquisition and Adaptive Resolution Control, VLSI-DAT 2010, pp. 307 - 310
371. Liyuan Liu, Dongmei Li, Liangdong Chen, Chun Zhang, Shaojun Wei, Zhihua Wang, A 1V 663μW 15-bit Audio ΔΣ Modulator in 0.18μm CMOS, VLSI-DAT 2010, pp. 194 - 197
372. Ziqiang Wang, Kaimin Zhou, Linlin Chen, Chun Zhang, Zhihua Wang, Wireless monitoring system using novel capacitive sensor, ICSICT 2010, pp. 572 - 574
373. Pengpeng Yuan, Dongmei Li, A. Wang, Liyuan Liu, Chun Zhang, Zhihua Wang, A 1V, 240 nW, 7 ppm/°C, high PSRR CMOS voltage reference circuit with curvature-compensation, ICSICT 2010, pp. 463 - 465
374. Ling Fu, Baoyong Chi, Hongxing Feng, Zhihua Wang, A 5M-50M Reconfigurable Gm-C Low-pass Filter in 130nm CMOS for SDR Receivers, ICSICT 2010, pp. 315 - 317
375. Liangdong Chen, Liyuan Liu, Dongmei Li, Chun Zhang, Zhihua Wang, A 1V 210μW 98dB SFDR Audio ΔΣ Modulator in 180nm Standard CMOS, ICSICT 2010, pp. 379 - 381
376. Ran Yu, Hanjun Jiang, Lingwei Zhang, Chun Zhang, Zhihua Wang, A hybrid regulator
with boost charge pump and low-dropout linear regulation, ICSICT 2010, pp. 587 - 589
377. Shaopeng Wang, Yannan Ren, Changyi Yang, Fule Li, Zhihua Wang, A 200MS/s 10-bit Current-steering D/A Converter with On-chip Testbench, ICSICT 2010, pp. 296 - 298
378. Changyi Yang, Xiaoxiao Zhao, Fule Li, Zhihua Wang, OTACAD:An Opamp Synthesis Tool Based on Simulation and Lookup Table, ICSICT 2010, pp. 803 - 805
379. Zhuo Zhang, Jun Li, Yuanfeng Sun, Woogeun Rhee, Zhihua Wang, A Digitally Reconfigurable Auto Amplitude Calibration Method for Wide Tuning Range VCO Design, ICSICT 2010, pp. 542 - 544
380. Wenfeng Lou, Xiaozhou Yan, Zhiqing Geng, Zhihua Wang, Nanjian Wu, Effective behavioral models for ΣΔ Fractional-N Frequency Synthesize phase noise prediction, ICSICT 2010, pp. 1895 - 1897
381. Linlin Chen, Ziqiang Wang, Chen Jia, Fule Li, Wenhan Hao, Bin Xiao, Chun Zhang, Zhihua Wang, A RF Remote-Control Transceiver with Zero-Standby Power Based on RFID Technology, PrimeAsia 2010, pp.243-246
382. Jun Li, Ni Xu, Woogeun Rhee, Zhihua Wang, A -131dBc@1M PhaseNoise, 74% Spectral Efficiency, GA optimized FIR impulse radio UWB transmitter, PrimeAsia 2010, pp. 384 - 387
383. Wen Jia, Leibo Liu, Shouyi Yin, Min Zhu, Zhihua Wang, A fast complete deblocking filter on a coarse-grained reconfigurable processor supporting H.264 high profile decoding, PrimeAsia 2010, pp. 221 - 224
384. Xiaoliang Yao, Liyuan Liu, Dongmei Li, Liangdong Chen, Zhihua Wang, A 90dB DR audio delta-sigma DAC with headphone driver for hearing aid, CISP 2010, pp. 2890 - 2893
385. Xiaobo Cai, Fule Li, Weitao Li, Chun Zhang, Zhihua Wang, A 12bit 100MSps pipelined ADC without calibration, CISP 2010, pp. 3547 - 3552
386. Hong Chen, Ming Liu, Weiyi Wan, Chen Jia, Chun Zhang, Zhihua Wang, Low-power Circuits Design for the Wireless Force Measurement System of the Total Knee Arthroplasty, EMBC 2010, pp. 3539 - 3542
387. Yingke Gu, Xiang Xie, Guolin Li, Tianjia Sun, Qiang Zhang, Ziqiang Wang, Zhihua Wang, A new system design of the multi-view Micro-Ball endoscopy system, EMBC 2010, pp. 6409 - 6412
388. Tianjia Sun, Xiang Xie, Guolin Li, Yingke Gu, Yangdong Deng, Ziqiang Wang, Zhihua Wang, An asymmetric resonant coupling wireless power transmission link for Micro-Ball Endoscopy, EMBC 2010, pp. 6531 - 6534
389. Tianjia Sun, Xiang Xie, Guolin Li, Yingke Gu, Xiaomeng Li, Zhihua Wang, A Wireless Energy Link for Endoscopy with End-Fire Helix Emitter and Load-Adaptive Power Converter, APCCAS 2010, pp.32 - 35
390. Xiaoyu Zhang, Hanjun Jiang, Chun Zhang, Zhihua Wang, A High-Energy-Efficiency Link Scheme for Closed-Loop Medical Monitoring and Intervention, APCCAS 2010, pp. 488 - 491
391. Yutao Liu, Ni Xu, Woogeun Rhee, Ziqiang Wang, Zhihua Wang, Power and Jitter Optimized VCO Design Using an On-Chip Supply Noise Monitoring Circuit, APCCAS 2010, pp. 939 - 942
392. Jun Li, Ni Xu, Yuanfeng Sun, Woogeun Rhee, Zhihua Wang, Reconfigurable, Fast AFC Technique Using Code Estimation and Binary Search Algorithm for 0.2-6GHz Software-Defined Radio Frequency Synthesis, APCCAS 2010, pp. 1135 - 1138
393. Kaimin Zhou, Ziqiang Wang, Chun Zhang, Zhihua Wang, Fully-differential low-offset interface for capacitive sensors, APCCAS 2010, pp. 788 - 791
394. Xiaoyu Zhang, Hanjun Jiang, Binjie Zhu, Xinkai Chen, Chun Zhang, Zhihua Wang, A Low-Power Remotely-Programmable MCU for Implantable Medical Devices, APCCAS 2010, pp. 28 - 31
395. Woogeun Rhee, Ni Xu, Bo Zhou, Zhihua Wang, Low Power, Non Invasive UWB Systems for WBAN and Biomedical Applications, ICTC 2010, pp. 35 - 40
396. Dan Wang, Xiang Xie, Yingke Gu, Tianjia Sun, Zhihua Wang, Guolin Li, Research on Image Registration for 2D Representation of GI tract in Micro-Ball Endoscopy System, BMEI 2010, pp. 514 - 517
397. Xiaobo Cai, Fule Li, Weitao Li, Chun Zhang, Zhihua Wang, A 12bit 100MSps Pipelined ADC without Calibration, CISP 2010, pp. 3547 - 3552
398. Xiaoliang Yao, Liyuan Liu, Dongmei Li, Liangdong Chen, Zhihua Wang, A 90dB DR audio delta-sigma DAC with headphone driver for hearing aid, CISP 2010, pp. 2890 - 2893
399. Guohe Yin, U-Fat Chio, He-Gong Wei, Sai-Weng Sin, U. Seng-Pan, R.P. Martins, Zhihua Wang, An ultra low power 9-bit 1-MS/s pipelined SAR ADC for bio-medical applications, ICECS 2010, pp. 878 - 881
400. Cong Shi, Nanjian Wu, Zhihua Wang, A high-speed vision processor based on pixel-parallel PE array and its applications, YC-ICT 2010, 57 - 60
401. Liyuan Liu, Liangdong Chen, Dongmei Li, Zhihua Wang, Shaojun Wei, A 1.1mW 87dB dynamic range audio ΔΣ modulator in 0.18?m CMOS, PrimeAsia 2009, pp. 17-20
402. Sen Chen, Yongming Li, Zhihua Wang, Sunny Zhang, Multi-Window Detector For Spectrum Sensing In Cognitive Radio, CCWMC 2009, pp. 291 - 294
403. Yuanfeng Sun, Jian Qiao, Jun Li, Rui He, Chengwen Liu, Woogeun Rhee, Sung Hun Woo, Zhihua Wang, A Low-Cost, Multi-Standard ΔΣ Fractional-N Synthesizer Design for WiMAX/WLAN Applications, ISOCC 2009, pp. 100 - 103
404. Nan Zhang, A. Wang, He Tang, Albert Wang, Zhihua Wang, Baoyong Chi, Low-Voltage and High-Speed FPGA I/O Cell Design in 90nm CMOS, ASICON 2009, pp. 533 - 536
405. Yang Xu, Baoyong Chi, Zhihua Wang, A Low Power Self-Sampling IF FSK Receiver, ASICON 2009, pp. 1113 - 1116
406. Xiaoman Wang, Baoyong Chi, Zhihua Wang, A Low Power High Date Rate ASK IF Receiver, ASICON 2009, pp. 473 - 476
407. Fan Chen, Baoyong Chi, Zhihua Wang, A 2.4 GHz wireless transmitter front-end for endoscopy capsule system, ASICON 2009, pp. 465 - 468
408. Jingchao Wang, Chun Zhang, Baoyong Chi, Zhihua Wang, Analysis and Design of a Fully Integrated SoC for UHF RFID Reader in CMOS Technology, ASICON 2009, pp. 415 - 418
409. Weitao Li, Fule Li, Dandan Guo, Chun Zhang, Zhihua Wang, An Undersampling 14-bit Cyclic ADC, ASICON 2009, pp. 211 - 214
410. Chengzhang Yao, Yongming Li, Zhihua Wang, Xu Zhang, A 0.18 μm CMOS Programmable Interference Canceller for Cognitive Radio Front-End, ASICON 2009, pp. 391 - 394
411. Qiuling Zhu, Chun Zhang, Xiaohui Wang, Ziqiang Wang, Fule Li, Zhihua Wang, VLSI Design of Spread Spectrum Encoding Low Power RFID Tag Baseband Processor, VLSI-DAT 2009, pp. 191 - 194
412. Weitao Li, Fule Li, Chun Zhang, Zhihua Wang, A Digital Background Calibration Algorithm Based on Code Occurrence Count for Pipelined ADCs, ICCCAS 2009, pp. 550 - 553
413. Chen Jia, Hong Chen, Wenhan Hao, Chun Zhang, Zhihua Wang, A Charge Recycling Method for Step-Down SC Converter in Energy Harvesting Systems, ICCCAS 2009, pp. 720 - 723
414. Yannan Ren, Fule Li, Chun Zhang, Zhihua Wang, A 400MS/s 10-bit current-steering D/A Converter, ICCCAS 2009, pp. 533-536
415. Jun Li, Woogeun Rhee, Zhihua Wang, A Dual-Carrier IR-Based UWB Transmitter with
Improved Spectral Efficiency, ICCCAS 2009, pp. 788-792
416. Che Jingjing, Chun Zhang, Liu Zhongqi, Ziqiang Wang, Zhihua Wang, Ultra-Low-Voltage Low-Power Charge Pump for Solar Energy Harvesting Systems, ICCCAS 2009, pp. 674 - 677
417. Y.S. Deng, Shuai Mu, Zhihua Wang, Toward EDA computing on GPUs, ICCCAS 2009, pp. 1119 - 1123
418. Yingke Gu, Xiang Xie, Ziqiang Wang, Guolin Li, Tianjia Sun, Nan Qi, Chun Zhang, Zhihua Wang, A new globularity capsule endoscopy system with multi-camera, BioCAS 2009, pp. 289 - 292
419. Songping Mai, Chun Zhang, Zhihua Wang, An application-specific low power speech processor for cochlear implants, BioCAS 2009, pp. 177 - 180
420. Fan Zhang, Zhihua Wang, Xin Wang, He Tang, Qiang Fang, A. Wang, Wei Chen, Lee Yang, Bin Zhao, G. Zhang, Xingang Wang, Design optimization and modeling of on-chip RF inductors in 0.13?m and 90nm standard CMOS, MWSCAS 2009, pp. 975 - 978
421. Dandan Guo, Fule Li, Jingbo Duan, Chun Zhang, Zhihua Wang, A 13-bit CMOS pipeline analog-to-digital converter with improved sampling circuits, ICCCAS 2008, pp. 1048 - 1052
422. Zhihua Wang, Songping Mai, Chun Zhang, Power issues on circuit design for cochlear implants, DELTA 2008, pp. 163 - 166
423. Guoqin Yao, Baoyong Chi, Chun Zhang, Zhihua Wang, A low-power monolithic reconfigurable direct-conversion receiver RF front-end for 802.11a/b/g applications, ICSICT 2008, pp. 1460 - 1463
424. Mingchen Ni, Guolin Li, Chun Zhang, Dongmei Li, Zhihua Wang, A wide-band RF front-end for multi-standard application, ICSICT 2008, pp. 1472 - 1474
425. Jingchao Wang, Baoyong Chi, Xuguang Sun, Tongqiang Gao, Chun Zhang, Zhihua Wang, System design considerations of highly-integrated UHF RFID reader transceiver RF front-end, ICSICT 2008, pp.1560 - 1563
426. Zhihao Jiang, Huiwen Yu, Liji Wu, Chen Jia, Chun Zhang, Zhihua Wang, Key module design of a battery-less TPMS on chip, ICSICT 2008, pp. 1941 -1944
427. Zhihua Wang, Xiaoyu Zhang, Xinkai Chen, Lingwei Zhang, Hanjun Jiang, An energy-efficient ASIC with real-time work-on-demand for wireless body sensor network, EDSSC 2008, pp. 1 - 6
428. Xiaoyu Zhang, Hanjun Jiang, Zhihua Wang, Using asynchronous circuits for communications in wireless endoscopic capsule, APCCAS 2008, pp. 1244 - 1247
429. Changming Ma, Xingjun Wu, Chun Zhang, Zhihua Wang, A low-power RF front-end of passive UHF RFID transponders, APCCAS 2008, pp. 73 - 76
430. Songping Mai, Chun Zhang, Zhihua Wang, Function-based memory partitioning on low power digital signal processor for cochlear implants, APCCAS 2008, pp. 654 - 657
431. Tongqiang Gao, Chun Zhang, Jingchao Wang, Baoyong Chi, Zhihua Wang, Design consideration of an multimode, power-controllable transmitter for UHF mobile RFID reader, ICCS 2008, pp. 1484 - 1488
432. Tongqiang Gao, Baoyong Chi, Chun Zhang, Zhihua Wang, Design and analysis of a highly integrated CMOS power amplifier for RFID reader, ICCS 2008, pp. 1480 - 1483
433. Xueyi Yu, Guolin Li, Lingwei Zhang, Zhihua Wang, Design and test of a miniature 2.45 GHz antenna for implantable medical devices, IMS3TW 2008, pp. 1 - 5
434. Milin Zhang, A. Bermak, Xiaowen Li, Zhihua Wang, A low power CMOS image sensor design for wireless endoscopy capsule, BioCAS 2008, pp. 397 - 400
435. Haolu Xie, Xin Wang, A. Wang, Bin Zhao, Yumei Zhou, Bo Qin, Hongyi Chen, Zhihua Wang, A varying pulse width 5th-derivative gaussian pulse generator for UWB transceivers in CMOS, RWS 2008, pp. 171 - 174
436. Zhihua Wang, Songping Mai, Chun Zhang, Hong Chen, Design Practice of Power-
oriented Integrated Circuits for Biomedical Implant Systems, ICECS 2007, pp. 78 - 81
437. Run Chen, Liyuan Liu, Dongmei Li, Zhihua Wang, Full custom design of a three-stage amplifier with 5500MHz·pF/mW Performance in 0.18 μm CMOS, VLSI-SoC 2007, pp. 242 - 247
438. Xinpeng Xing, Zhihua Wang, Dongmei Li, A low voltage high precision CMOS bandgap reference, NORCHP 2007, pp. 1 - 4
439. Hong Chen, Ming Liu, Chen Jia, Chun Zhang, Zhihua Wang, Low Power IC Design of the Wireless Monitoring System of the Orthopedic Implants, EMBS 2007, pp. 5766 - 5769
440. Xiaowen Li, Xinkai Chen, Xiang Xie, Guolin Li, Li Zhang, Chun Zhang, Zhihua Wang, A Low Power, Fully Pipelined JPEG-LS Encoder for Lossless Image Compression, ICME 2007, pp. 1906 - 1909
441. Songping Mai, Chun Zhang, Yixin Zhao, Jun Chao, Zhihua Wang, An application-specific memory partitioning method for low power, ASICON 2007, pp. 221 - 224
442. Zhongqi Liu, Chun Zhang, Yongming Li, Zhihua Wang, Songtan E., A novel passive UHF RFID transponder with space division Anti-collision Algorithm, ASICON 2007, pp. 878 - 881
443. Yong Li, Liji Wu, Chun Zhang, Zhihua Wang, Power recovery circuit for battery-less TPMS, ASICON 2007, pp. 454 - 457
444. Jirong Ma, Yongming Li, Chun Zhang, Zhihua Wang, A 1V Ultra-Low Power High Precision CMOS Voltage Reference, EDSSC 2007, pp. 847 - 850
445. Changming Ma, Chun Zhang, Zhihua Wang, A Low-Power AC/DC Rectifier for Passive UHF RFID Transponders, MAPE 2007, pp. 309 - 314
446. Shuilong Huang, Huainan Ma, Zhihua Wang, Modeling and Simulation to the Design of ΣΔ Fractional-N Frequency Synthesizer, DATE 2007, 1 - 6
447. Hsiao Wei Su, Yike Cui, Baoyong Chi, Zhihua Wang, A RF front-end for digital audio broadcasting, ECCTD 2007, pp. 176 - 179
448. XiaoWen Li, Xinkai Chen, Xiang Xie, Guolin Li, Li Zhang, Zhihua Wang, Pre-Processing and Vector Quantization Based Approach for CFA Data Compression in Wireless Endoscopy Capsule, ISBI 2007, pp. 1172 - 1175
449. Zhihua Wang, Xuguang Sun, Chun Zhang, Yongming Li, Issues in Integrated Circuit Design for UHF RFID, RFIT 2007, pp. 322 - 328
450. Zhihua Wang, Some Issues in Integrated Circuit Design for UHF RFID, Invited Talks of RFIT 2007, pp. nil22
451. Haolu Xie, Xin Wang, A. Wang, Zhihua Wang, Chun Zhang, Bin Zhao, A Fully-Integrated Low-Power 3.1-10.6GHz UWB LNA in 0.18μm CMOS, RWS 2007, 197 - 200
452. Mian Dong, Chun Zhang, Songping Mai, Zhihua Wang, Dongmei Li, A wideband frequency-shift keying demodulator for wireless neural stimulation microsystems, VLSI Design 2006, pp. 1056 - 1060
453. Changming Ma, Chun Zhang, Zhihua Wang, Power Analysis for the MOS AC/DC Rectifier of Passive RFID Transponders, APCCAS 2006, pp. 1350 - 1353
454. Yang Kun, Zhang Chun, Wang Zhihua, Design of Adaptive Deblocking Filter for H.264/AVC Decoder SOC, APCCAS 2006, pp. 109 - 112
455. Dingkun Du, Yongming Li, Zhihua Wang, Seeteck Tan, An Active-RC Complex Filter with Mixed Signal Tuning System for Low-IF Receiver, APCCAS 2006, pp. 1031 - 1034
456. Shuilong Huang, Zhihua Wang, Huainan Ma, A Fast 1.9 GHz Fractional-N/Integer Frequency Synthesizer with a Self-tuning Algorithm, APCCAS 2006, pp. 203 - 206
457. Songping Mai, Chun Zhang, Mian Dong, Zhihua Wang, A Cochlear System with Implant DSP, ICASSP 2006, pp. V ? 125 - V -128
458. Haolu Xie, Siqiang Fan, A. Wang, Albert Wang, Zhihua Wang, Hongyi Chen, A Pulse-Based Full-Band UWB Transceiver SoC in 0.18μm SiGe BiCMOS, SOCC 2006, pp. 73 - 76
459. Li Zhang, Baoyong Chi, JinKeYao, Zhihua Wang, Hongyi Chen, A 2-GHz Low Power Differentially Tuned CMOS Monolithic LC-VCO, ISCE 2006, pp. 1 - 4
460. Kun Yang, Chun Zhang, Zhihua Wang, Application Specific Processor Design For H.264 Baseline Profile Bit-Stream Decoding, ICSP 2006, Guilin, pp. 545 - 548
461. Li Zhang, Baoyong Chi, Zhihua Wang, Hongyi Chen, Ende Wu, A Low Power 440-MHz Pulse-Swallow-Divider Combination Synchronization-Asynchronism-Hybrid Frequency Divider, MWSCAS 2006, pp. 566 - 568
462. Yike Cui, Yongming Li, Zhihua Wang, A High-linearity, 80-dB CMOS VGA with DC-offset Cancellation for DAB Application, ICSICT 2006, pp. 1766 - 1768
463. Xiang Xie, Guolin Li, Baoyong Chi, Xueyi Yu, Chun Zhang, Zhihua Wang, Micro-system Design for Wireless Endoscopy System, EMBS 2005, pp. 7135 - 7138
464. Zihong Liu, Ku He, Lei Yang, Chao Bian, Zhihua Wang, Characterizing Transmission and Control of the SARS Epidemic: Novel Stochastic Spatio-Temporal Models, EMBS 2005, pp. 7463 - 7469
465. Xiang Xie, Guolin Li, Chun Zhang, Zhihua Wang, An Efficient Control Strategy of Adaptive Packet Length for ARQ in Wireless Endoscopy System, ISCIT 2005, pp. 1121 - 1123
466. Xiang Xie, Guolin Li, Dongmei Li, Chun Zhang, Zhihua Wang, A New Near-lossless Image Compression Algorithm Suitable for Hardware Design in Wireless Endoscopy System, ICIP 2005, pp. 1125 - 1128
467. Ziqiang Wang, Baoyong Chi, Min Lin, ShuGuang Han, Lu Liu, JinKeYao, Zhihua Wang, A monolithic CMOS L band DAB receiver, Proceedings of the ASP-DAC 2005, pp. 1232 - 1235
468. Zihong Liu, Chao Bian, Zhihua Wang, Chun Zhang, Full custom design of a two-stage fully differential CMOS amplifier with high unity-gain bandwidth and large dynamic range at output, MWSCAS 2005, pp. 984 - 987
469. Zihong Liu, Pengsheng Huang, Zhihua Wang, Lei Feng, Chun Zhang, Dongmei Li, Shangkai Gao, Xindong Song, Tianling Ren, Full custom design of the interface for an in vitro neural biosensor, MWSCAS 2005, pp. 1306 - 1309
470. Xiang Xie, Guolin Li, XiaoWen Li, Xinkai Chen, Kun Yang, Chun Zhang, Zhihua Wang, A New Near-Lossless Image Compression Method in Digital Image Sensors with Bayer Color Filter Arrays, ICASSP 2005, pp. 369 - 372
471. Yanqing Ning, Zhihua Wang, Hongyi Chen, Design of ultra wideband MOS differential VCO, ASICON 2005, pp. 441 - 445
472. Xiang Xie, Guolin Li, Xinkai Chen, Chun Zhang, Zhihua Wang, A low complexity near-lossless image compression method and its ASIC design for wireless endoscopy system, ASICON 2005, pp. 37 - 40
473. Leibo Liu, Ning Chen, Li Zhang, Zhihua Wang, VLSI architecture of EBCOT tier-2 encoder for JPEG2000, ASICON 2005, pp. 173 - 176
474. Yicong Meng, Leibo Liu, Li Zhang, Zhihua Wang, Design Methodology of Low Power JPEG2000 Codec Exploiting Dual Voltage Scaling, ASICON 2005, pp. 183 - 186
475. Yicong Meng, Leibo Liu, Li Zhang, Zhihua Wang, A low power VLSI implementation for JPEG2000 codec, ASICON 2005, pp. 198 - 202
476. Zihong Liu, Zhihua Wang, A new hybrid neural system interfacing neurons and silicon hardware for fast signal recognition, IJCNN 2005, pp. 3238 - 3243
477. Xiaolei Zhu, Jizhong Shen, Baoyong Chi, Zhihua Wang, Circuit implementation of multi-thresholded neuron (MTN) using BiCMOS technology, IJCNN 2005, pp. 627 - 632
478. Xueyi Yu, Guolin Li, Zhihua Wang, Design of compact 2.45 GHz microstrip antenna, MAPE 2005, pp. 153 - 156
479. Leibo Liu, Zhihua Wang, Ning Chen, Li Zhang, VLSI architecture of EBCOT Tier-2 encoder for JPEG2000, IEEE Workshop on Signal Processing Systems Design and
Implementation 2005, pp. 225 - 228
480. Zihong Liu, Zhihua Wang, Guolin Li, Zhiping Yu, Chun Zhang, Design Proposal for a Chip Jointing VLSI and Rat Spinal Cord Neurons on a Single Silicon Wafer, IEEE EMBS Conference on Neural Engineering 2005, pp. 158 - 161
481. Li Zhang, JinKeYao, Ende Wu, Baoyong Chi, Zhihua Wang, Hongyi Chen, A CMOS Fully Differential Σ-Δ A Frequency Synthesizer for 2-Mb/s GMSK Modulation, ICM 2005, pp. 6 - 9
482. Mian Dong, Chun Zhang, Songping Mai, Zhihua Wang, Dongmei Li, A Wideband Wireless Micro-Stimulating AISC for Cochlear Implant, ICM 2005, pp. 274 - 278
483. Enzheng Li, Guolin Li, Chun Zhang, Zhihua Wang, Joint Frequency Offset Estimation and Timing Synchronization for DSSS MSK Receiver, APCC 2005, pp. 358 - 361
484. Zihong Liu, Zhihua Wang, Guolin Li, Zhiping Yu, A novel solid neuron-network chip based on both biological and artificial neural network theories, ISNN 2005, pp. 479-484
485. Xiang Xie, Guolin Li, Xinkai Chen, Xiaowen Li, Baoyong Chi, Shuguang Han, Jinke Yao, Chun Zhang, Zhihua Wang, A Novel Low Power IC Design For Bi-Directional Digital Wireless Endoscopy Capsule System, BioCAS 2004, pp. S1/8 - S5/8
486. Xiang Xie, Guolin Li, Xiaowen Li, Chun Zhang, Zhihua Wang, Xinkai Chen, Hsiao Weisi, A New High Quality Image Compression Method For Digital Image Sensors With Bayer Color Filter Arrays, BioCAS 2004, pp. S3/3-13-16
487. Mian Dong, Chun Zhang, Zhihua Wang, Dongmei Li, A Neuro-Stimulus Chip with Telemetry Unit for Cochlear Implant, BioCAS 2004, pp. S1/3/INV - S1/39-12
488. Xiang Xie, Guolin Li, Xiaowen Li, Zhihua Wang, Chun Zhang, Dongmei Li, Li Zhang, A New Approach for Near-lossless and Lossless Image Compression with Bayer Color Filter Arrays, ICIG 2004, pp. 357 - 360
489. Kun Yang, Chun Zhang, Songping Mai, Zhihua Wang, DSP architecture for motion estimation acceleration, ICSICT 2004, pp. 1609 - 1612
490. Li Zhang, Zhihua Wang, Hongyi Chen, A 5-GHz CMOS VCO for IEEE 802.11a WLAN application, ICSICT 2004, pp. 1311 - 1314
491. Baoyong Chi, Xiaolei Zhu, Shuilong Huang, Zhihua Wang, 1GHz monolithic high spectrum purity fractional-N frequency synthesizer with a 3-b third-order delta-sigma modulator, ICSICT 2004, pp. 1504 - 1507
492. Shuguang Han, Baoyong Chi, Zhihua Wang, A high phase accuracy, low amplitude mismatch quadrature LO driver, ICSICT 2004, pp. 1260 - 1263
493. Chun Zhang, Zhihua Wang, Dongmei Li, Mian Dong, A Multi-mode and Multi-Channel Cochlear Implant, ICSP 2004, pp. 2237 - 2240
494. Zhihua Wang, Xiang Xie, Chun Zhang, Baoyong Chi, Guolin Li, Li Zhang, Domgmei Li, IC design for a digital wireless endoscope capsule system, APRASC 2004, pp. K42 - K43
495. Zhang Chun, Yang Kun, Mai Songping, Wang Zhihua, A DSP architecture for motion estimation accelerating, ISIMP 2004, pp. 583 - 586
496. Zhihua Wang, Xiang Xie, Li Zhang, Chun Zhang, An improved algorithm for rate distortion optimization in JPEG2000 and its integrated circuit implementation, ICASSP 2004, pp. V - 61-4
497. K. Mashiko, A. Kanuma, T. Kozawa, Kiwon Lee, A. Wu, Zhihua Wang, Academia-industry collaboration in SoC design education: wishes and reality, APASIC 2004, pp. 18 - 21
498. Yue Wang, Chun Zhang, Zhihua Wang, A New Variable Step Size LMS Algorithm with Application to Active Noise Control, ICASSP 2003, pp. V - 573-5
499. Junhua Liu, Kun Yang, Chun Zhang, Zhihua Wang, A transponder IC for wireless auto identification system, ASICON 2003, pp. 1114 - 1116
500. Leibo Liu, Dejian Li, Li Zhang, Zhihua Wang, Hongyi Chen, A VLSI architecture of
EBCOT encoder for JPEG2000, ASICON 2003, PP. 882 - 885
501. Ziqiang Wang, Chun Zhang, Zhihua Wang, Wireless receiver architectures for SOC, ASICON 2003, pp. 877 - 881
502. Leibo Liu, Ning Chen, Hongying Meng, Li Zhang, Zhihua Wang, Hongyi Chen, A VLSI chip of SCLA based 2-D DWT/IDWT, ASICON 2003, pp. 898 - 901
503. Leibo Liu, Xuejin Wang, Hongying Meng, Li Zhang, Zhihua Wang, Hongyi Chen, A VLSI architecture of spatial combinative lifting algorithm based 2-D DWT/IDWT, APCCAS 2002, pp. 299 - 304
504. Haixing Hu, Yongming Li, Zhihua Wang, An improved push-pull Class-E RF tuned power amplifier with low maximum transistor current, ASICON 2001, pp. 322 - 325
505. Chun Zhang, Zhihua Wang, A Fast Frequency Domain Filter Bank Realization Algorithm, 2000 5th International Conference on Signal Processing Proceedings, WCCC-ICSP 2000, pp. 130 - 132
506. Hongying Meng, Zhihua Wang, Guizhong Liu, Performance of the Daubechies wavelet filters compared with other orthogonal transforms in random signal processing, WCCC-ICSP 2000, pp. 333 - 336
507. Hongying Meng, Zhihua Wang, Li Zhang, Performance analysis of the CDF wavelet filters in image compression, APCCAS 2000, pp. 658 - 661
508. Yanmei Li, Dongmei Li, Zhihua Wang, A new approach to detect-mitigate-correct radiation-induced faults for SRAM-based FPGAs in aerospace application, NAECON 2000, pp. 588 – 594
509. Mingjian Zheng, Zhihua Wang, ASIC Design of OFDM Decoder Used in a Digital Audio Broadcasting Receiver, 1998 3rd International Conference on ASIC (ASICON 1998), Beijing, China, OCT 21, 1998
510. G. Geilen, Zhihua Wang, Willy Sansen, Fault Detection And Input Stimulus Determination For The Testing Of Analog Integrated Circuits Based On Power-supply Current Monitoring, ICCAD 1994, pp. 495 - 498
511. Zhihua Wang, G. Gielen, Willy Sansen, Testing of analog integrated circuits based on power-supply current monitoring and discrimination analysis, Proceedings of the Third Asian Test Symposium 1994, pp. 126 - 131
512. Zhihua Wang, S.W. Director, A novel approximation procedure for efficient yield simulation and optimization of integrated circuits, 27th Annual Simulation Symposium 1994, pp. 166 - 173
513. Zhihua Wang, S.W. Director, An efficient yield optimization method using a two step linear approximation of circuit performance, European Design and Test Conference 1994, pp. 567 – 571
514. Zhihua Wang, Rensheng Liu, Chongzhi Fan, Yield Simulation and Optimization for Electronic Circuits, 1989 International Conference on Circuits and Systems, Nanjing, China, JUL 6-8, 1989
中国会议论文 – (29)
(a) 中国英文会议 – (1)
1. 王志华, 刘璐, The Progress of Research on Signals and Systems in China of Year 1998-2001, Radio Science in China 1998-2001, 2002-08-01, pp. 31-57
(b) 中国中文会议 – (28)
2. 郭晓娟, 李艳梅, 姚树坤, 陈少轩, 杜玉惠, 王志华, 慢性抑制性胃电刺激对比格犬摄食量及体重的影响, 2013中华医学会北京分会消化系病学术年会, 中国北京, 2013-07-01
3. 张春, 张铖, 王佳, 王志华, 基于软件无线电的RFID测试平台, 2012年中国仪器仪表学术、产业大会, 中国北京, pp. 332-338, 2012-11-01
4. 王志华, 张春, 李冬梅, 用于脑机接口系统中的混合信号集成电路设计问题, 2011年(第九届)中国通信集成电路技术与应用研讨会暨中国通信学会通信专用集成电路委员会十周年年会, 中国苏州, 2011-09-22
5. 陈林林, 王自强, 贾晨, 李福乐, 郝文瀚, 徐冠男, 张春, 王志华, 零静态功耗遥控系统及其发射端的实现, 第十三届北京科技交流学术月节能与低功耗集成电路技术国际研讨会, 中国北京, pp. 171-175, 2010-10-01
6. 王志华, 张哓昱, 张凌伟, 张春, 麦宋平, 谢翔, 姜汉钧, 池保勇, 集成电路技术在医疗健康领域的应用, 第七届中国国际集成电路博览会暨高峰论坛, 中国苏州, pp. 179-199, 2009-10-01
7. 乌力吉, 蒋志豪, 张春, 张兆华, 王志华, 片上集成无源汽车轮胎压力监测系统的结构设计, 中国汽车工程学会汽车电子行业分会第八届(2008)年会暨学术研讨会, 中国天津, 2008-01-01
8. 陈虹, 贾晨, 刘鸣, 王志华, 人工关节内压电陶瓷供能与电路设计, 第十五届全国半导体集成电路、硅材料学术会议, 中国重庆, pp. 217-220, 2007-11-01
9. 王志华, 射频集成电路技术发展趋势, 第五届中国通信集成电路技术与应用研讨会, 中国陕西西安, pp. 183-191, 2007-09
10. 王志华, SOC集成电路研究的若干问题, 中国通信集成电路技术与应用研讨会, 中国四川成都, pp. 52-56, 2006-12
11. 孙旭光, 张春, 李永明, 王志华, 陈弘毅, 超高频无源RFID标签的一些关键电路的设计, 第九届北京学术交流月集成电路设计及其应用国际研讨会, 中国北京, pp. 21-31, 2006-10-30
12. 王志华, 从ISSCC论文看SOC集成电路研究的若干问题, 第九届北京学术交流月集成电路设计及其应用国际研讨会, 中国北京, pp. 1-6, 2006-10-30
13. 姜秀杰, 孙辉先, 王志华, 张利, 塑封微电子器件在空间的应用及存在的问题, 中国宇航学会飞行器总体专业委员会2004年学术研讨会, 中国三亚, pp. 949-954, 2005-02-24
14. 李恩征, 张春, 王志华, 802.11b无线信道仿真模型研究, 中国电子学会电路与系统学会第十八届年会, 中国长沙, pp. V313-V316, 2004-04-01
15. 王志华, 张春, 李冬梅, 用于脑机接口系统中的混合信号集成电路设计问题, 2003中国通信专用集成电路技术及产业发展研讨会, 中国昆明, pp. 13-16, 2003-08
16. 陈弘毅, 王志华, 加快产业化步伐推动IC卡应用, 2002年全国建设事业IC卡应用模式和技术发展研讨会, 中国上海, pp. 43-45, 2002-10-30
17. 李福乐, 李冬梅, 王志华, 流水线A/D转换器的速度分析与优化, 中国电子学会电路与系统学会第十六届年会, 中国宁波, pp. 38-42, 2001-05-01
18. 张春, 王志华, DSP系统的系统内编程的实现, 第九届全国信号处理学术年会(CCSP-99), 中国北京, pp. 500-502, 1999-10-01
19. 孟鸿鹰, 王志华, 基于推举体制的小波构造方法, 第九届全国信号处理学术年会(CCSP-99), 中国北京, pp. 87-90, 1999-10-01
20. 杨明杰, 王志华, 高永红, B系列彩电遥控器中屏显电路设计, 全国第二届专用集成电路(ASIC)学术会议, 中国北京, 1992-01-01
21. 傅勇, 王志华, 数字电路的高级综合和逻辑综合技术及其应用, 全国第二届专用集成电路(ASIC)学术会议, 中国北京, 1992-01-01
22. 杨明杰, 高永红, 王志华, B系列彩电遥控系统存贮器接口电路设计, 全国第二届专用集成电路(ASIC)学术会议, 中国北京, 1992-01-01
23. 尹达衡, 龚明甫, 王志华, B系列彩电遥控系统方案设计, 全国第二届专用集成电路(ASIC)学术会议, 中国北京, 1992-01-01
24. 高永红, 杨明杰, 王志华, B系列彩电遥控系统中主控集成电路的设计, 全国第二届专用集成电路(ASIC)学术会议, 中国北京, 1992-01-01
25. 王志华, 龚明甫, 高永红, 彩电遥控器中数字式频率调整电路的设计, 全国第二届专用集成电路(ASIC)学术会议, 中国北京, 1992-01-01
26. 杨华中, 王志华, 刘润生, 多目标多约束的集成电路统计优化策略, 全国第六届IC CAD学术年会, 中国南京, 1991-10-01
27. 王志华, 陈为, 史峥, 双极型晶体管直流模型参数统计分布规律的研究, 1989年全国第五届集成电路CAD学术年会, 中国杭州, 1989-11-01
28. 王志华, 刘润生, 范崇治, 一种新的合格率优化算法, 1989年全国第五届集成电路CAD学术年会, 中国杭州, 1989-11-01
29. 王志华, 王建芬, 余志平, 一个通用电路性能优化软件包的设计, 1989年全国第五届集成电路CAD学术年会, 中国杭州, 1989-11-01
授权美国专利 – (9)
1. Woogeun Rhee, Xican Chen, Jong Jin Kim, Dong Wook Kim, Zhihua Wang. Receiver, method of operating the receiver, and beamforming radar system including receiver: 美国, US** B2[P]. 2017-10-17.
2. Xiang Xie, Yi Zheng, Guolin Li, Wei Song, Zhong Lv, Lifei Ren, Yiqiao Liao, Zhihua Wang. System and method for human computer interaction: USA, US** B2[P]. 2016-03-15.
3. Shuli Geng, Woogeun Rhee, Jong Jin Kim, Dong Wook Kim, Zhihua Wang. Apparatus and method for generating gaussian pulse and ultra wideband communication apparatus for generating gaussian pulse: USA, US** B2[P]. 2015-05-19.
4. Woogeun Rhee, Fei Chen, Jong Jin Kim, Dong Wook Kim, Zhihua Wang. Apparatus and method for ultra wideband communication using dual band pass filter: USA, US** B2[P]. 2015-10-27.
5. Woogeun Rhee, Bo Zhou, Jong-Jin Kim, Dong-Wook Kim, Zhihua Wang. Transmitter and receiver for reducing power consumption in fm-uwb communication system: USA, US** B2[P]. 2016-02-02.
6. Woogeun Rhee, He Rui, Xueyi Yu, Tae-Young Oh, Joo-Sun Choi, Zhihua Wang. Phase-locked-loop circuit including digitally-controlled oscillator: USA, US** B2[P]. 2013-
02-05.
7. Woogeun Rhee, Xueyi Yu, Sung Cheol Shin, Zhihua Wang. Delay locked loop using hybrid FIR filtering technique and semiconductor memory device having the same: USA, US** B2[P]. 2012-11-13.
8. Woogeun Rhee, Xueyi Yu, Joon-Young Park, Zhihua Wang. Delay locked loop and method and electronic device including the same: USA, US** B2[P]. 2012-10-23.
9. Woogeun Rhee, Xueyi Yu, Yuanfeng Sun, Sang-Soo Ko, Byeong-Ha Park, Hyung-Ki Ahn, Woo-Seung Choo, Zhihua Wang. Frequency divider, frequency synthesizer and application circuit: USA, US** B2[P]. 2013-05-21.
授权中国专利– (118)
1. 贺娅君,王自强,李宇根,刘晗,张春,王志华,李福乐. 一种支持多协议的锁相环: 中国, 6.X[P]. 2018-10-19.
2. 王自强,周宇星,张春,王志华,李福乐. 一种采用模拟电路补偿电容的低压差线性稳压器: 中国, 2.1[P]. 2017-12-19.
3. 王自强,周宇星,张春,王志华,李福乐. 一种采用数字电路补偿电容的低压差线性稳压器: 中国, 1.3[P]. 2017-12-19.
4. 吕方旭,王自强,张春,王志华,李福乐. 一种高速低功耗PAM4发射机: 中国, 2.9[P]. 2018-11-20.
5. 尹说,姜汉钧,杨闻笛,张春,王志华. 一种面向肠鸣音信号监护系统的信号处理方法: 中国, 9.0[P]. 2018-10-23.
6. 李宇根,李小勇,贾雯,王志华. 调制器及其延时自动校准电路及延时控制模块: 中国, 2.0[P]. 2018-10-09.
7. 唐仙,张春,王志华. 一种电阻型温度传感芯片: 中国, 0.0[P]. 2018-04-20.
8. 唐仙,张春,王志华. 一种环形振荡器: 中国, 6.0[P]. 2018-06-19.
9. 唐仙, 李福乐, 张春, 王志华. 电阻型温度传感芯片的校准电路和校准方法: 中国, 3.8[P]. 2017-11-28.
10. 翁兆洋, 姜汉钧, 董晶晶, 杨超, 李宇根, 王志华. 两点调制发射机中高通通路数模转换器的增益校准方法: 中国, 4.4[P]. 2017-04-19.
11. 董晶晶, 姜汉钧, 翁兆洋, 郑婧怡, 张春, 王志华. 一种接收机快速自动增益控制系统及控制方法: 中国, 3.8[P]. 2017-10-17.
12. 黄柯, 王自强, 郑旭强, 张春, 王志华. 一种新型的高速串行接口发射机: 中国, 8.3[P]. 2017-07-07.
13. 杨闻笛, 姜汉钧, 翁兆洋, 张春, 陈虹, 王志华. 肠鸣音监测识别系统: 中国, 7.5[P]. 2017-09-22.
14. 黄柯, 王自强, 郑旭强, 张春, 王志华. 一种新型的高速串行接口发射机: 中国, 1.3[P]. 2016-03-30.
15. 池保勇, 韩思扬, 张泽宏, 王志华. 一种压控振荡器: 中国, 9.4[P]. 2017-09-22.
16. 朱晓鑫, 周莹, 谢翔, 王丹, 李国林, 唐维俊, 王志华. 一种点云分割方法及装置: 中国, 9.2[P]. 2017-09-08.
17. 李福乐, 张春, 王志华. 带有高频补偿的模拟电压缓冲器电路: 中国, 4.6[P]. 2017-09-22.
18. 池保勇, 魏蒙, 张泽宏, 王志华. 一种多模可配置Class AB功率放大器: 中国,
4.9[P]. 2017-06-30.
19. 谢翔, 李国林, 蔡西蕾, 宋玮, 郑毅, 吕众, 任力飞, 王志华. 一种指尖触碰检测系统及方法: 中国, 8.0[P]. 2016-09-21.
20. 廖一桥, 宋玮, 谢翔, 李国林, 郑毅, 王志华. 一种高精度的投影仪-摄像机标定系统及标定方法: 中国, 4.6[P]. 2017-04-12.
21. 黄亚东, 李国林, 谢翔, 李欢欢, 王志华. 一种单层螺线管寄生电容的计算方法及系统: 中国, 4.3[P]. 2016-11-23.
22. 谢翔, 黄亚东, 李国林, 谷荧柯, 李欢欢, 王志华. 一种能量发射端及无线能量传输方法: 中国, 1.X[P]. 2016-05-18.
23. 谢翔, 郑毅, 李国林, 宋玮, 吕众, 任力飞, 廖一桥, 王志华. 人机交互系统及方法: 中国, 1.0[P]. 2017-02-08.
24. 宋哲, 姜汉钧, 林庆良, 贾雯, 王志华. 基础体温检测装置以及基础体温的检测方法: 中国, 0.0[P]. 2016-01-13.
25. 陈虹, 苏少杰, 高继扬, 王志华. 全髋关节置换术中髋关节内部实时可视辅助定位系统: 中国, 9.7[P]. 2015-09-30.
26. 郑旭强, 黄柯, 李福乐, 王自强, 张春, 王志华. 源同步高速串行接口的时钟通路前端放大电路: 中国, 0.1[P]. 2016-08-17.
27. 王志华, 陈霏, 李宇根. 助听装置: 中国, 2.3[P]. 2016-08-17.
28. 池保勇, 陈磊, 况立雪, 贾海昆, 王志华. 一种毫米波锁相环: 中国, 9.5[P]. 2016-02-10.
29. 袁帅, 王自强, 郑旭强, 乌力吉, 张春, 王志华. 用于高速串行接口接收端的1/4速率4抽头判决反馈均衡器: 中国, 2.8[P]. 2016-05-18.
30. 池保勇, 殷韵, 于谦, 王志华. 可配置无线发射机: 中国, 8.4[P]. 2015-10-21.
31. 李宇根, 陈菲, 金钟珍, 金东郁, 王志华. 用于超宽带通信的设备和方法: 中国, 8.7[P]. 2017-12-01.
32. 耿树理, 李宇根, 金钟珍, 金东郁, 王志华. 用于产生高斯脉冲的设备和方法: 中国, 9.3[P]. 2017-12-08.
33. 谢翔, 任力飞, 李昂, 韩衍隽, 李国林, 胡军, 吕众, 宋玮, 郑毅, 王志华. 基于自适应分层结构光的触碰检测系统及方法: 中国, 4.7[P]. 2016-04-20.
34. 池保勇, 况立雪, 俞小宝, 陈磊, 朱伟, 魏蒙, 宋政, 王志华. 一种无线高速短距离通信芯片: 中国, 1.0[P]. 2016-07-06.
35. 池保勇, 张欣旺, 夏兆康, 刘冰乔, 于谦, 续阳, 张泽宏, 韩思扬, 刘琼冰, 王志华. 软件无线电接收机电路: 中国, 8.1[P]. 2017-04-12.
36. 池保勇, 刘冰乔, 张欣旺, 王志华. 功率检测电路: 中国, 6.2[P]. 2016-12-28.
37. 池保勇, 张欣旺, 王志华. 基于双重反馈结构的AB类输出级的运算放大器: 中国, 7.8[P]. 2017-05-24.
38. 李福乐, 许丽丽, 张春, 王志华. 模数转换器前端电路: 中国, 7.0[P]. 2016-06-01.
39. 池保勇, 况立雪, 贾海昆, 贾雯, 王志华. 无线通信收发机前端: 中国, 5.1[P]. 2015-09-23.
40. 任仡奕, 吕俊宏, 王伟, 周莹, 谢翔, 李国林, 王志华. 一种低复杂度的手势提取和手势深度
获取方法: 中国, 7.5[P]. 2016-02-24.
41. 胡军, 李昂, 韩衍隽, 李国林, 谢翔, 吕众, 宋玮, 任力飞, 郑毅, 王志华. 投影式人机交互系统及触控识别方法: 中国, 6.8[P]. 2016-02-24.
42. 任仡奕, 周莹, 吕俊宏, 王伟, 谢翔, 李国林, 王志华. 3D获取系统的校准方法: 中国, 0.9[P]. 2016-05-18.
43. 周莹, 任仡奕, 吕俊宏, 王伟, 谢翔, 李国林, 王志华. 一种有序提取地面的点云分割方法: 中国, 3.2[P]. 2016-04-20.
44. 李福乐, 张春, 王志华. 一种流水线结构模数转换器的前端电路及其时序控制方法: 中国, 0.6[P]. 2017-03-15.
45. 池保勇, 贾海昆, 贾雯, 王志华. 差分传输装置及包括所述差分传输装置的放大器: 中国, 7.7[P]. 2017-03-15.
46. 李宇根, 周波, 金钟珍, 金东郁, 王志华. FM-UWB通信系统中的用于降低功耗的发射机和接收机: 中国, 2.3[P]. 2017-06-09.
47. 谷荧柯, 谢翔, 李国林, 孙天佳, 王志华. 面向生物体腔内图像采集的图像压缩方法: 中国, 8.1[P]. 2016-02-24.
48. 姜珲, 王自强, 张春, 麦宋平, 陈虹, 王志华. 一种斩波放大器及降低其失调电压的方法: 中国, 5.6[P]. 2015-08-19.
49. 王自强, 姜珲, 张春, 麦宋平, 陈虹, 王志华. 一种斩波放大器: 中国, 4.1[P]. 2015-10-14.
50. 姜珲, 王自强, 张春, 姜汉钧, 陈虹, 王志华. 一种预放大器通过控制幅度的失调校正方法: 中国, 3.5[P]. 2015-09-02.
51. 姜珲, 王自强, 张春, 姜汉钧, 陈虹, 王志华. 一种预放大器通过控制延时的失调校正方法: 中国, 7.0[P]. 2015-08-19.
52. 王自强, 宋奕霖, 姜珲, 张春, 麦宋平, 姜汉钧, 王志华. 具有良好相位噪声性能的压控振荡器及电路: 中国, 7.X[P]. 2015-04-15.
53. 王自强, 宋奕霖, 姜珲, 张春, 麦宋平, 姜汉钧, 王志华. 具有低闪烁噪声的压控振荡器及电路: 中国, 8.8[P]. 2015-07-08.
54. 姜珲, 王自强, 张春, 麦宋平, 陈虹, 姜汉钧, 王志华. 减小斩波放大器输出纹波的电路、测量装置及信号测量方法: 中国, 8.X[P]. 2015-08-19.
55. 王自强, 宋奕霖, 姜珲, 张春, 麦宋平, 姜汉钧, 王志华. 带有反馈的压控振荡器及电路: 中国, 0.3[P]. 2015-05-20.
56. 王自强, 姜珲, 张春, 麦宋平, 陈虹, 王志华. 低时钟串扰的预放大器、动态比较器及电路: 中国, 2.3[P]. 2015-04-29.
57. 王自强, 姜珲, 张春, 麦宋平, 陈虹, 王志华. 高速低串扰的预放大器、动态比较器及电路: 中国, 4.2[P]. 2016-01-20.
58. 王自强, 姜珲, 张春, 麦宋平, 陈虹, 王志华. 一种具有大失调电压校正范围的动态比较器: 中国, 1.2[P]. 2016-01-06.
59. 王自强, 姜珲, 张春, 麦宋平, 陈虹, 王志华. 一种新型校正失调电压的动态比较器: 中国, 2.8[P]. 2015-11-18.
60. 徐冠南, 贾晨, 王自强, 郑旭强, 张春, 姜汉钧, 陈虹, 王志华. 一种采用数字滑模变结构控制的Buck型开关电源转换器: 中国, 7.1[P]. 2014-12-10.
61. 李振涛, 贾晨, 王自强, 郑旭强, 张春, 侯晨龙, 王志华. 高速串行接口的多相时钟产生电路中用的鉴相和启动电路: 中国, 3.0[P]. 2015-07-01.
62. 胡世杰, 王自强, 黄柯, 郑旭强, 李福乐, 马轩, 俞坤治, 张春, 王志华. 高速时钟数据恢复电路中的时钟相位判断电路和判断方法: 中国, 9.X[P]. 2015-10-14.
63. 姜珲, 王自强, 张春, 麦宋平, 陈虹, 姜汉钧, 王志华. 一种消除斩波纹波的方法及实现该方法的模数转换电路: 中国, 5.6[P]. 2015-08-19.
64. 董晶晶, 张凌炜, 姜汉钧, 池保勇, 李福乐, 张春, 王志华. 一种可重构多频段收发机射频前端: 中国, 6.1[P]. 2014-07-23.
65. 池保勇, 贾海昆, 王志华. 功率合成型功率放大器及其应用: 中国, 7.7[P]. 2015-10-28.
66. 池保勇, 贾海昆, 况立雪, 王志华. 毫米波FMCW两单元相控阵测距测速单片雷达收发机: 中国, 7.9[P]. 2014-06-04.
67. 杨开, 姜汉钧, 张春, 王志华. 胎心监测设备: 中国, 5.9[P]. 2016-01-13.
68. 张春, 彭琪, 李佐昭, 王志华. 一种基于时间差测量的天线切换式射频定位方法: 中国, 2.6[P]. 2014-12-31.
69. 李福乐, 李玮韬, 杨昌宜, 王志华. 一种参考电压的驱动电路: 中国, 6.8[P]. 2016-07-20.
70. 陈虹, 王志华, 周一新, 苏少杰, 孙天佳, 高继扬, 姜汉钧. 新型的髋置换术中获取髋臼及股骨头姿态的方法与系统: 中国, 0.5[P]. 2015-01-14.
71. 麦宋平, 赵益新, 李小虎, 张春, 王志华. 植入神经刺激器的电流脉冲电路: 中国, 5.9[P]. 2014-12-10.
72. 麦宋平, 赵益新, 刘灵华, 张春, 王志华. 一种用于控制植入式医疗器械的通信系统及方法: 中国, 9.2[P]. 2015-08-19.
73. 王志华, 陈虹, 孙天佳, 周一新, 唐杞衡, 刘鸣, 苏少杰. 人工髋关节置换术中髋臼及股骨头姿态获取方法与系统: 中国, 7.1[P]. 2014-11-26.
74. 黄柯, 王自强, 郑旭强, 李福乐, 马轩, 俞坤治, 张春, 王志华. 多通道前向时钟高速串行接口的正交时钟产生电路: 中国, 4.7[P]. 2015-01-21.
75. 麦宋平, 赵益新, 李小虎, 张春, 王志华. 一种OOK信号接收电路: 中国, 6.X[P]. 2014-05-14.
76. 麦宋平, 赵益新, 李小虎, 张春, 王志华. 一种万向臂平台: 中国, 4.4[P]. 2014-03-19.
77. 童凯, 李国林, 谢翔, 谷荧科, 赵凯, 刘守浩, 王志华. 一种数据压缩方法: 中国, 5.7[P]. 2013-08-07.
78. 胡军, 李国林, 谢翔, 刘金, 王志华. 基于投影屏幕的多点触控检测方法及多点触控系统: 中国, 2.2[P]. 2014-06-04.
79. 曹华敏, 刘鸣, 陈虹, 郑翔, 王聪, 王志华, 高志强. 一种具有读写分离的双端口SRAM单元6T结构: 中国, 6.X[P]. 2013-12-04.
80. 张春, 贺宇荟, 叶朝君, 王志华. 一种嵌入芯片的珍珠及其辨识方法: 中国, 2.X[P]. 2014-01-01.
81. 张春, 彭琪, 李少勋, 孙旭光, 王自强, 王志华. 一种集成RFID功能的手机: 中国, 0.X[P]. 2013-06-12.
82. 刘鸣, 陈虹, 郑翔, 曹华敏, 高志强, 王志华. 采用分级位线和两级灵敏放大器的SRAM电路装置: 中国, 0.5[P]. 2013-09-04.
83. 池保勇, 张欣旺, 曹萌, 孙志刚, 符令, 殷韵, 夏兆康, 冯红星, 张星, 王志华. 一种软件无线电收发机射频前端电路: 中国, 3.5[P]. 2013-10-16.
84. 池保勇, 孟祥雨, 张春, 王志华. 一种改进的恒虚警方法: 中国, 8.8[P]. 2013-05-22.
85. 池保勇, 祁楠, 徐阳, 俞小宝, 叙阳, 张星, 王志华. 一种接收机射频前端装置及其接收信号方法: 中国, 2.1[P]. 2014-06-18.
86. 池保勇, 徐阳, 俞小宝, 祁楠, 王志华. 可配置片上有源RC滤波装置: 中国, 3.1[P]. 2014-04-16.
87. 麦宋平, 张春, 王志华. 一种人工耳蜗系统: 中国, 6.8[P]. 2013-03-13.
88. 张春, 贺宇荟, 赵西金, 王志华. 一种具有信息标识的芯片及其制备方法: 中国, 6.1[P]. 2013-09-04.
89. 池保勇, 徐阳, 祁楠, 王志华. 正交下变频接收机I、Q通道信号失配校准装置: 中国, 8.X[P]. 2014-01-01.
90. 麦宋平, 张春, 王志华. 一种液晶显示驱动电路及液晶显示装置: 中国, 6.X[P]. 2012-12-12.
91. 张春, 贺宇荟, 王志华, 陈虹. 一种内嵌RFID标签识别技术: 中国, 0.X[P]. 2012-09-25.
92. 孙天佳, 谢翔, 李国林, 谷荧柯, 王自强, 张春, 王志华. 无线生物体腔内图像采集系统及方法: 中国, 9.7[P]. 2015-10-28.
93. 谢翔, 李国林, 邓仰东, 谷荧柯, 孙天佳, 王丹, 胡军, 王志华. 便携式无线操作系统及方法: 中国, 5.0[P]. 2012-11-14.
94. 池保勇, 张春, 王志华. 全集成双频带可配置射频功率放大器: 中国, 3.9[P]. 2012-12-26.
95. 孙天佳, 谢翔, 李国林, 谷荧柯, 王自强, 张春, 王志华. 无线能量传输系统及方法: 中国, 0.X[P]. 2012-06-20.
96. 谷荧柯, 李国林, 谢翔, 孙天佳, 胡军, 王志华. 用于生物体腔内的多视角图像采集与存储系统和方法: 中国, 8.0[P]. 2012-09-05.
97. 谢翔, 邓仰东, 李国林, 王自强, 张春, 孙天佳, 谷荧柯, 王丹, 王志华. 车辆导航系统及方法: 中国, 7.1[P]. 2012-11-14.
98. 王自强, 谢翔, 张春, 谷荧柯, 孙天佳, 王志华. 利用电磁波相位差的人体内视镜胶囊定位方法及其系统: 中国, 9.X[P]. 2013-06-05.
99. 陈虹, 刘鸣, 张春, 唐竞, 周一新, 王志华. 一种人工膝关节置换术压力平衡测量系统: 中国, 8.7[P]. 2013-10-02.
100. 张春, 谢翔, 王自强, 陈虹, 麦宋平, 姜汉钧, 王志华, 李福乐, 池保勇, 李冬梅, 李国林, 王红梅. 一种生物体腔内数据采集的装置和方法: 中国, 0.1[P]. 2012-10-03.
101. 李冬梅, 朱颖佳, 刘力源, 姜汉钧, 李福乐, 王志华. 一种独立调节两相脉宽的不交叠时钟产生电路: 中国, 8.9[P]. 2011-05-11.
102. 张晓昱, 姜汉钧, 张春, 谢翔, 王志华, 石崇源. 一种无线通信网络传输系统和方法: 中国, 1.7[P]. 2010-09-29.
103. 张春, 王敬超, 王志华. 采用反向散射调制技术的射频识别系统中防侦听的方法: 中国, 9.3[P]. 2011-11-09.
104. 姜汉钧, 谢翔, 王志华, 张春, 王红梅, 马腾. 一种无线收发装置及其数据下载方法: 中国, 7.4[P]. 2012-08-29.
105. 姜汉钧, 张晓昱, 张凌伟, 谢翔, 张春, 王志华, 王红梅. 一种无线开关装置、系统及其通信控制方法: 中国, 2.8[P]. 2011-12-07.
106. 姜汉钧, 张晓昱, 张凌伟, 谢翔, 张春, 王志华, 王红梅. 一种无线开关装置、系统及其通信控制方法: 中国, 5.6[P]. 2010-02-03.
107. 马继荣, 吴行军, 李永明, 张春, 王志华. 一种振荡器电路: 中国, 1.9[P]. 2011-05-11.
108. 祁楠, 谢翔, 李国林, 姜汉钧, 王志华, 王红梅. 生物体腔内全视角采集系统: 中国, 3.3[P]. 2011-12-28.
109. 谢翔, 姜汉钧, 王红梅, 李国林, 王志华. 一种无线生物体腔内图像采集系统及装置: 中国, 6.5[P]. 2010-06-02.
110. 高同强, 张春, 王志华. 零静态功耗感应方式控制的供电控制电路: 中国, 5.7[P]. 2009-07-22.
111. 谢翔, 李国林, 喻学艺, 王志华. 双向数字无线内窥镜胶囊用的集成电路系统: 中国, 1.7[P]. 2008-07-23.
112. 陈虹, 王志华. 植入关节内压电陶瓷间歇供电装置: 中国, 0.1[P]. 2008-07-23.
113. 陈虹, 王志华. 生物体植入关节双向数字无线压力监视系统: 中国, 9.9[P]. 2008-08-20.
114. 谢翔, 李国林, 喻学艺, 王志华. 无线内窥镜系统高码率超短距离的自动请求重发通信方法: 中国, 8.4[P]. 2008-12-03.
115. 谢翔, 李国林, 李晓雯, 王志华. 无线内窥镜系统的准无损图像压缩和解压缩方法: 中国, 5.3[P]. 2008-06-18.
116. 张春, 王志华, 麦宋平, 李冬梅. 双向数字调制多通道人工耳蜗系统: 中国, 3.8[P]. 2008-06-18.
117. 张春, 王振华, 王志华, 李永明. 带有硅基集成天线的射频识别标卡: 中国, 4.7[P]. 2008-01-09.
118. 王志华, 谢翔, 张春, 张利. 双向数字式无线内窥镜系统: 中国, **.X[P]. 2005-05-04.
相关话题/清华大学 微电子

  • 领限时大额优惠券,享本站正版考研考试资料!
    大额优惠券
    优惠券领取后72小时内有效,10万种最新考研考试考证类电子打印资料任你选。涵盖全国500余所院校考研专业课、200多种职业资格考试、1100多种经典教材,产品类型包含电子书、题库、全套资料以及视频,无论您是考研复习、考证刷题,还是考前冲刺等,不同类型的产品可满足您学习上的不同需求。 ...
    本站小编 Free壹佰分学习网 2022-09-19
  • 清华大学微电子所导师教师师资介绍简介-许军
    许军,男,研究员,1963年6月生于安徽合肥,1986年毕业于清华大学无线电电子学系半导体器件与物理专业,获工学学士学位,后分别于1989年和1994年在航天工业部771研究所计算机器件与设备专业获工学硕士学位和工学博士学位,1994年至1996年在清华大学微电子学研究所电子学与通信博士后科研流动站从事超大规模集成电路工艺技术的基础研究工作,1997年在清华大学微电子学研究所晋升为副研究员,199 ...
    本站小编 Free考研考试 2020-04-16
  • 清华大学微电子所导师教师师资介绍简介-魏少军
    魏少军,1958年5月出生于北京,应用科学博士。现任清华大学教授,博士生导师,微电子与纳电子学系主任、微电子学研究所所长;中国电子学会会士,IEEE高级会员;国家集成电路产业发展咨询委员会委员;核高基国家科技重大专项技术总师;中国半导体行业协会副理事长,集成电路设计分会理事长。1984年在清华大学无线电电子学系获工学硕士学位;1985年至1987年任比利时西门子ATEA公司研发部研究工程师;199 ...
    本站小编 Free考研考试 2020-04-16
  • 清华大学微电子所导师教师师资介绍简介-王燕
    王燕:女,1967年出生,1984年考入西安交通大学电子系,1988年获学士学位,同年免试进入西安交通大学电子系攻读硕士学位,于1991年获得物理电子技术工学硕士学位。同年考入中国科学院半导体研究所攻读博士学位,于1995年获得半导体物理与器件专业理学博士学位。1999年进入清华大学微电子学研究所CAD室工作,2004年晋升为教授。现任微电子学研究所分管教学的副所长。在国内外期刊和会议发表学术论文 ...
    本站小编 Free考研考试 2020-04-16
  • 清华大学微电子所导师教师师资介绍简介-任天令
    任天令教授,清华大学信息科学技术学院副院长,教育部,国家基金获得者,清华大学环境与健康传感技术研究中心副主任。简介:1971年出生于山东省济南市,1997年博士毕业于清华大学现代应用物理系,2003年起担任清华大学微电子所教授,2011年至2012年为美国斯坦福大学(Stanford University)大学电子工程系访问教授。近年来,承担国家自然科学重点基金、国家重大科技专项、国家公益性行业科 ...
    本站小编 Free考研考试 2020-04-16
  • 清华大学微电子所导师教师师资介绍简介-陈炜
    教授,博士生导师。1995年英国剑桥大学博士,1994-1997英国剑桥大学Wolfson学院Hitachi Fellow。 1997-2007美国纽约州立大学石溪分校Research Scientist 及Senior Research Scientist。 现任清华大学微电子所微纳器件与系统研究室主任,清华大学。2008年受聘教育部。通信 ...
    本站小编 Free考研考试 2020-04-16
  • 清华大学微电子所导师教师师资介绍简介-岳瑞峰
    岳瑞峰,男,清华大学教授,博士生导师。1965年7月出生于陕西省宝鸡市。1997年6月获西安交通大学博士学位。1997年9月~1999年9月在清华大学微电子学研究所从事博士后研究工作,并晋升副教授。2004~2005年作为访问赴瑞典皇家工学院(KTH)微系统技术研究室(MST)工作,2006年晋升教授。长期从事新型半导体器件设计与制造、集成电路与传感器的单片集成设计与制造、微型生物医学器件与系统( ...
    本站小编 Free考研考试 2020-04-16
  • 清华大学微电子所导师教师师资介绍简介-钱鹤
    钱鹤,长聘教授。1990年毕业于西安交大并获博士学位,1990-2006年在中科院微电子所工作,2006-2009年在三星半导体(中国)研究所工作,2009年起入职清华大学。在中科院微电子所期间曾从事Si CMOS工艺技术、Si CMOS/SOI抗辐射电路和GaN微波功率器件等方面的研发工作,获得国家技术发明二等奖、政府特殊津贴等奖励。在三星工作期间曾负责多项智能手机中的芯片应用解决方案开发。加入 ...
    本站小编 Free考研考试 2020-04-16
  • 清华大学微电子所导师教师师资介绍简介-刘泽文
    刘泽文, 博士,教授,博士生导师,安徽肥东人,本科毕业于中国科学技术大学。 1997年法国巴黎第十一大学博士毕业后回国工作。曾任清华大学微电子所微纳器件与系统研究室副主任,微电子学研究所副所长等职。他是中国电子学会和中国微纳技术学会高级会员,多次担任自然科学基金, 国家重大专项和自然科学奖评审专家。曾经获得清华大学优秀教师,清华大学优秀博士生导师等称号。在国内外学术期刊和会议上发表学术论文100多 ...
    本站小编 Free考研考试 2020-04-16
  • 清华大学微电子所导师教师师资介绍简介-王喆垚
    王喆垚,男,1972年出生于内蒙古,1990考入清华大学精密仪器系,1995年获学士学位。同年免试进入清华大学精密仪器系攻读博士学位,2000年获机械电子学专业工学博士学位。2000-2002年在清华大学微电子学研究所从事博士后研究,2002-2003年在荷兰Delft University of Technology微电子与亚微米技术研究所(Delft Institute of Microele ...
    本站小编 Free考研考试 2020-04-16
  • 清华大学微电子所导师教师师资介绍简介-刘玉玺
    刘玉玺,清华大学微电子学研究所教授,国家科学基金获得者,2014年任科技部国家重大科学研究计划固体量子计算的器件物理基础项目首席科学家。1998年获北京大学博士学位,先后在中国科学院理论物理研究所、日本综合研究大学院大学从事博士后研究。2002年到2009年在日本理化学研究所工作,2009年以引进到清华大学任教授。主要研究方向为超导等固态量子 ...
    本站小编 Free考研考试 2020-04-16