删除或更新信息,请邮件至freekaoyan#163.com(#换成@)

香港科技大学工学院老师教师导师介绍简介-Jiang XU

本站小编 Free考研考试/2022-01-30

Jiang XU
須江
PhD in Computer Engineering
Princeton University, 2007

Acting Head
Microelectronics Thrust, HKUST (Guangzhou)

Professor
Department of Electronic and Computer Engineering



(852) 2358 5036
eexu@ust.hk
Room 2438
Personal Web

Google Scholar
IxOQVaEAAAAJ

ORCID
0000-0001-9089-7752

ResearcherID
F-4122-2010

Scopus ID
57193394803




Research Interest Publications Projects Teaching Assignment RPG Supervision Space used




Research Interest
Machine learning system
Photonic-electronic codesign
Multiprocessor system-on-chip (MPSoC)
Chip power delivery and management
Hardware/Software codesign
Interconnection network
Low-power embedded system



Publications
All Years 129 2022 0 2021 4 2020 7 2019 5 2018 9 2017 12 2016 92





2021 4

HERO: Pbit High-Radix Optical Switch based on Integrated Silicon Photonics for Data Center
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 23 March 2021
Wang, Zhifei; Feng, Jun; Xu, Jiang; Chen, Xuanqi; Zhang, Jiaxu; Chen, Shixi; Liu, Yinyi Article
Reduce Loss and Crosstalk in Integrated Silicon-Photonic Multistage Switching Fabrics through Multichip Partition
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 40, (1), January 2021, article number 9089039, p. 101-114
Wang, Zhehui; Wang, Zhifei; Xu, Jiang; Feng, Jun; Chen, Shixi; Chen, Xuanqi; Zhang, Jiaxu Article
Simultaneously Tolerate Thermal and Process Variations through Indirect Feedback Tuning for Silicon Photonic Networks
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 40, (7), July 2021, article number 9171888, p. 1409-1422
Chen, Xuanqi; Feng, Jun; Xu, Jiang; Zhang, Jiaxu; Chen, Shixi Article
Multi-core Power Management Through Deep Reinforcement Learning
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2021-May, May 2021, article number 9401447
Tian, Zhongyuan; Chen, Lin; Li, Xiao; Feng, Jun; Xu, Jiang Conference paper

2020 7

CAMON: Low-Cost Silicon Photonic Chiplet for Manycore Processors
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 39, (9), September 2020, article number 8755274, p. 1820-1833
Wang, Zhehui; Wang, Zhifei; Xu, Jiang; Chang, Yi-Shing; Feng, Jun; Chen, Xuanqi; Chen, Shixi; Zhang, Jiaxu Article
Chip-Specific Power Delivery and Consumption Co-Management for Process Variation-Aware Manycore Systems Using Reinforcement Learning
IEEE Transactions on Very Large Scale Integration Systems, v. 28, (5), May 2020, article number 8974238, p. 1150-1163
Li, Haoran; Tian, Zhongyuan; Xu, Jiang; Vivas Maeda, Rafael Kioji; Wang, Zhehui; Wang, Zhifei Article
Modeling and Analysis of Optical Modulators Based on Free-Carrier Plasma Dispersion Effect
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 39, (5), May 2020, article number 8675505, p. 977-990
Chen, Xuanqi; Wang, Zhifei; Chang, Yi-Shing; Xu, Jiang; Feng, Jun; Yang, Peng; Wang, Zhehui; Duong, Huu Kinh Luan Article
Multi-Device Collaborative Power Management through Decentralized Knowledge Sharing
IEEE Transactions on Very Large Scale Integration Systems, v. 28, (5), May 2020, p. 1128-1140
Tian, Zhongyuan; Xu, Jiang; Li, Haoran; Vivas Maeda, Rafael Kioji Article
Multidomain Inter/Intrachip Silicon Photonic Networks for Energy-Efficient Rack-Scale Computing Systems
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 39, (3), March 2020, p. 626-639
Yang, Peng; Wang, Zhehui; Wang, Zhifei; Xu, Jiang; Chang, Yi-Shing; Chen, Xuanqi; Vivas Maeda, Rafael Kioji; Feng, Jun Article
A Fast Joint Application-Architecture Exploration Platform for Heterogeneous Systems
Embedded, Cyber-Physical, and IoT Systems: Essays Dedicated to Marilyn Wolf on the Occasion of Her 60th Birthday / Bhattacharyya, Shuvra, Potkonjak, Miodrag, Velipasalar, Senem (Eds.). Springer, 2020, p. 203-232
Vivas Maeda, Rafael Kioji; Yang, Peng; Li, Haoran; Tian, Zhongyuan; Wang, Zhehui; Wang, Zhifei; Chen, Xuanqi; Feng, Jun; Xu, Jiang Book chapter
Efficient Optical Power Delivery System for Hybrid Electronic-Photonic Manycore Processors
Proceedings of the 2020 Design, Automation and Test in Europe Conference and Exhibition, DATE 2020, March 2020, article number 9116328, p. 1628-1633
Chen, Shixi; Xu, Jiang; Chen, Xuanqi; Wang, Zhifei; Feng, Jun; Zhang, Jiaxu; Tian, Zhongyuan; Li, Xiao Conference paper

2019 5

A Cross-Layer Optimization Framework for Integrated Optical Switches in Data Centers
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 39, (3), March 2020, p. 640-653
Wang, Zhifei; Yang, Peng; Chang, Yi-Shing; Xu, Jiang; Chen, Xuanqi; Wang, Zhehui; Feng, Jun Article
Crosstalk noise reduction through adaptive power control in inter/intra-chip optical networks
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 38, (1), January 2019, article number 8279547, p. 43-56
Duong, Huu Kinh Luan; Yang, Peng; Wang, Zhifei; Chang, Yi-Shing; Xu, Jiang; Wang, Zhehui; Chen, Xuanqi Article
Decentralized Collaborative Power Management through Multi-Device Knowledge Sharing
Proceedings - 2018 IEEE 36th International Conference on Computer Design, ICCD 2018, 16 January 2019, article number 8615718, p. 409-412
Tian, Zhongyuan; Li, Haoran; Vivas Maeda, Rafael Kioji; Feng, Jun; Xu, Jiang Conference paper
Scalable low-power high-performance rack-scale optical network
Proceedings of PHOTONICS 2019: Photonics-Optics Technology Oriented Networking, Information, and Computing Systems - Held in conjunction with SC 2019: The International Conference for High Performance Computing, Networking, Storage and Analysis, November 2019, article number 8950756, p. 1-6
Feng, Jun; Wang, Zhehui; Chen, Xuanqi; Chen, Shixi; Zhang, Jiaxu; Xu, Jiang Conference paper
Systematic exploration of high-radix integrated silicon photonic switches for datacenters
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, November 2019, article number 8942144
Wang, Zhifei; Feng, Jun; Chen, Xuanqi; Wang, Zhehui; Zhang, Jiaxu; Chen, Shixi; Xu, Jiang Conference paper

2018 9

A Systematic and Realistic Network-on-chip Traffic Modeling and Generation Technique for Emerging Many-core Systems
IEEE Transactions on Multi-Scale Computing Systems, v. 4, (2), April-June 2018, p. 113-126
Liu, weichen; Wang, Zhe; Yang, Peng; Xu, Jiang; Li, Bin; Iyer, Ravi; Illikkal, Ramesh Article
Collaborative Power Management through Knowledge Sharing Among Multiple Devices
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 38, (7), July 2019, article number 8360054, p. 1203-1215
Tian, Zhongyuan; Wang, Zhe; Xu, Jiang; Li, Haoran; Yang, Peng; Vivas maeda, Rafael Kioji Article
Workload-aware adaptive power delivery system management for many-core processors
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 37, (10), October 2018, article number 8122040, p. 2076-2086
Li, Haoran; Xu, Jiang; Wang, Zhe; Vivas maeda, Rafael Kioji; Yang, Peng; Tian, Zhongyuan Article
A Comprehensive Electro-Optical Model for Silicon Photonic Switches
Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI, v. 2018-July, August 2018, article number 8429345, p. 76-81
Chen, Xuanqi; Wang, Zhifei; Chang, Yi-Shing; Xu, Jiang; Yang, Peng; Wang, Zhehui; Duong, Huu Kinh Luan Conference paper
Co-Manage Power Delivery and Consumption for Manycore Systems Using Reinforcement Learning
Proceedings of the International Conference on Computer-Aided Design, November 2018, article number 34, p. 1-8
Li, Haoran; Tian, Zhongyuan; Vivas maeda, Rafael Kioji; Chen, Xuanqi; Feng, Jun; Xu, Jiang Conference paper
Cross-Layer Optimization for High-Radix Integrated Optical Switches in Data Centers
2018 7th Annual IEEE Photonics Society Optical Interconnects Conference, OI 2018, July 2018, article number 8422041, p. 35-36
Wang, Zhifei; Yang, Peng; Chang, Yi Shing; Xu, Jiang; Chen, Xuanqi; Duong, Luan H.K.; Duong, Huu Kinh Luan Conference paper
Lattice-matched metastable Zinc-blende MnSe1-xTex on ZnTe as a promising THz emitter material
粵港澳大灣區物理學會2018聯合年會
Cheng, Man Kit; Liang, Jing; Xu, Jian; Lai, Ying Hoi; Ho, Sut Kam; Tam, Kam Weng; Sou, Iam Keong Conference paper
Multi-Device Collaborative Management Through Knowledge Sharing
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2018-January, February 2018, p. 22-27
Tian, Zhongyuan; Wang, zhe; Li, Haoran; Yang, Peng; Vivas maeda, Rafael Kioji; Xu, Jiang Conference paper
RSON: an Inter/Intra-Chip Silicon Photonic Network for Rack-scale Computing Systems
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, v. 2018-January, April 2018, p. 1369-1374, Code 136090
Yang, Peng; Pang, zhengbin; Wang, Zhifei; Wang, Zhehui; Xie, Min; Chen, Xuanqi; Duong, Huu Kinh Luan; Xu, Jiang Conference paper

2017 12

Alleviate Chip Pin Constraint for Multicore Processor by On/Off-Chip Power Delivery System Codesign
ACM Journal on Emerging Technologies in Computing Systems, v. 13, (2), March 2017, article number 19, p. 1-24
Wang, Xuan; Xu, Jiang; Wang, Zhe; Li, Haoran; Wang, Zhehui; Yang, Peng; Duong, Luan Huu Kinh; Vivas maeda, Rafael Kioji; Wang, Zhifei Article
Energy-Efficient Power Delivery System Paradigms for Many-Core Processors
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 36, (3), March 2017, article number 7498688, p. 449-462
Li, Haoran; Wang, Xuan; Xu, Jiang; Wang, Zhe; Vivas maeda, Rafael Kioji; Wang, Zhehui; Yang, Peng; Duong, Luan Huu Kinh; Wang, Zhifei Article
High-Radix Nonblocking Integrated Optical Switching Fabric for Data Center
Journal of Lightwave Technology, v. 35, (19), October 2017, article number 8006211, p. 4268-4281
Wang, Zhifei; Xu, Jiang; Yang, Peng; Wang, Zhehui; Duong, Huu Kinh Luan; Chen, Xuanqi Article
Photonic Interconnects for Computing Systems: Understanding and Pushing Design Challenges
Photonic Interconnects for Computing Systems: Understanding and Pushing Design Challenges / Edited by Mahdi Nikdast, Gabriela Nicolescu, Sebastien le Beux and Xu, Jiang. Aalborg: River Publishers, 2017. River Publishers Series in Optics and Photonics.
Mahdi Nikdast; Gabriela Nicolescu; Sebastien le Beux; Xu, Jiang Book
Introduction
Photonic interconnects for computing systems: Understanding and pushing design challenges english / Nikdast, M., Nicolescu, G., Beux, S.L. and Xu, J.. River Publishers, 2017, p. 1-8
Nikdast, M.; Nicolescu, G.; Beux, S.L.; Xu, Jiang Book chapter
Photonic interconnects for computing systems: Understanding and pushing design challenges english
Photonic interconnects for computing systems: Understanding and pushing design challenges english / River Publishers, 2017, p. 1-412, / by Nikdast, M., Nicolescu, G., Beux, S.L. and Xu, J. River Publishers, 2017.
Nikdast, M.; Nicolescu, G.; Beux, S.L.; Xu, Jiang Book chapter
Unified inter-and intra-chip optical interconnect networks
Photonic Interconnects for Computing Systems: Understanding and Pushing Design Challenges English / edited by Nikdast, M., Nicolescu, G., Beux, S.L. and Xu, J.. River Publishers, 2017, p. 11-40
Yang, Peng; Wu, Xiaowen; Ye, Y.; Xu, Jiang Book chapter
Adaptive Power Delivery System Management for Many-Core Processors with On/Off-Chip Voltage Regulators
IEEE/ACM Design, Automation and Test in Europe (DATE), May 2017, article number 7927185, p. 1265-1268
Li, Haoran; Xu, Jiang; Wang, Zhe; Yang, Peng; Vivas maeda, Rafael Kioji; Tian, Zhongyuan Conference paper
Comparisons of a Novel Optical Space Switch and AWGR
Optics InfoBase Conference Papers, v. Part F52-IPRSN, 2017, article number JTu4A.24
Wang, Zhifei; Yang, Peng; Xu, Jiang; Chen, Xuanqi; Wang, Zhehui; Duong, Huu Kinh Luan Conference paper
Fast and Accurate Exploration of Multi-Level Caches Using Hierarchical Reuse Distance
Proceedings - International Symposium on High-Performance Computer Architecture, May 2017, article number 7920821, p. 145-156
Vivas maeda, Rafael Kioji; Cai, Qiong; Xu, Jiang; Wang, Zhe; Tian, Zhongyuan Conference paper
MOCA: an Inter/Intra-Chip Optical Network for Memory
DAC '17: Proceedings of the 54th Annual Design Automation Conference 2017, June 2017, article number 86
Wang, Zhehui; Pang, Zhengbin; Yang, Peng; Xu, Jiang; Chen, Xuanqi; Vivas maeda, Rafael Kioji; Wang, Zhifei; Duong, Huu Kinh Luan; Li, Haoran; Wang, Zhe Conference paper
Thermal-sensitive Design and Power Optimization for a 3D Torus-based Optical NoC
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, v. 2017-November, December 2017, p. 827-834
Yao, Kang; Ye, Yaoyao; Pasricha, Sudeep; Xu, Jiang Conference paper

2016 9

A Holistic Modeling and Analysis of Optical-Electrical Interfaces for Inter/Intra-chip Interconnects
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (7), July 2016, article number 7389433, p. 2462-2474
Wang, Zhehui; Xu, Jiang; Yang, Peng; Duong, Luan Huu Kinh; Wang, Zhifei; Wang, Xuan; Wang, Zhe; Li, Haoran; Vivas maeda, Rafael Kioji Article
An Adaptive Process-Variation-Aware Technique for Power-Gating-Induced Power/Ground Noise Mitigation in MPSoC
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (12), December 2016, article number 7463494, p. 3373-3386
Wang, Zhe; Wang, Xuan; Xu, Jiang; Li, Haoran; Vivas Maeda, Rafael Kioji; Wang, Zhehui; Yang, Peng; Duong, Luan Huu Kinh; Wang, Zhifei Article
Coherent and Incoherent Crosstalk Noise Analyses in Interchip/Intrachip Optical Interconnection Networks
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (7), July 2016, p. 2475-2487
Duong, Huu Kinh Luan; Wang, Zhehui; Nikdast, Mahdi; Xu, Jiang; Yang, Peng; Wang, Zhifei; Wang, Zhe; Vivas Maeda, Rafael Kioji; Li, Haoran; Wang, Xuan; Le-beux, Sébastien; Thonnart, Yvain Article
Distributed Sensor Network-on-Chip for Performance Optimization of Soft-Error-Tolerant Multiprocessor System-on-Chip
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (4), April 2016, article number 7202910, p. 1546-1559
Liu, Weichen; Zhang, Wei; Wang, Xuan; Xu, Jiang Article
Improve Chip Pin Performance Using Optical Interconnects
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (4), April 2016, p. 1574-1587
Wang, Zhehui; Xu, Jiang; Yang, Peng; Wang, Xuan; Wang, Zhe; Duong, Huu Kinh Luan; Wang, Zhifei; Vivas Maeda, Rafael Kioji; Li, Haoran Article
Low-Loss High-Radix Integrated Optical Switch Networks for Software-Defined Servers
Journal of Lightwave Technology, v. 34, (18), September 2016, p. 4364-4375
Wang, Zhifei; Wang, Zhehui; Xu, Jiang; Yang, Peng; Duong, Luan Huu Kinh; Wang, Zhe; Li, Haoran; Vivas Maeda, Rafael Kioji Article
Inter/intra-chip Optical Interconnection Network: Opportunities, Challenges, and Implementations
2016 10th IEEE/ACM International Symposium on Networks-on-Chip (NOCS 2016), October 2016, article number 7579343, p. 171-178
Yang, Peng; Nakamura, Shigeru; Yashiki, Kenichiro; Wang, Zhehui; Duong, Huu Kinh Luan; Wang, Zhifei; Chen, Xuanqi; Nakamura, Yuichi; Xu, Jiang Conference paper
JADE: a Heterogeneous Multiprocessor System Simulation Platform Using Recorded and Statistical Application Models
Proceedings of the 1st International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems, January 2016, article number 8, p. 1-6
Vivas maeda, Rafael Kioji; Yang, Peng; Wu, Xiaowen; Wang, Zhe; Xu, Jiang; Wang, Zhehui; Li, Haoran; Duong, Huu Kinh Luan; Wang, Zhifei Conference paper
Panel discussions “computing and communication evolution for IoT innovations”
2016 IEEE Symposium in Low-Power and High-Speed Chips (COOL CHIPS XIX), Yokohama, Japan, 20-22 April 2016
Nishi, Hiroaki; Xu, Jiang; Benini, Luca; McCool, Michael; Sakamoto, Toshitsugu; Fujimoto, Shingo Conference paper

2015 10

Actively Alleviate Power-Gating-Induced Power/Ground Noise Using Parasitic Capacitance of On-Chip Memories in MPSoC
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (2), February 2015, article number 6767132, p. 266-279
Wang, Xuan; Xu, Jiang; Zhang, Wei; Wu, Xiaowen; Ye, Yaoyao; Wang, Zhehui; Nikdast, Mahdi; Wang, Zhe Article
An Analytical Study of Power Delivery Systems for Many-Core Processors Using On-Chip and Off-Chip Voltage Regulators
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 34, (9), September 2015, article number 7061385, p. 1401-1414
Wang, Xuan; Xu, Jiang; Wang, Zhe; Chen, Kevin J.; Wu, Xiaowen; Wang, Zhehui; Yang, Peng; Duong, Luan Huu Kinh Article
An Inter/Intra-Chip Optical Network for Manycore Processors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (4), April 2015, article number 6815717, p. 678-691
Wu, Xiaowen; Xu, Jiang; Ye, Yaoyao; Wang, Xuan; Nikdast, Mahdi; Wang, Zhehui; Wang, Zhe Article
Crosstalk Noise in WDM-Based Optical Networks-on-Chip: A Formal Study and Comparison
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (11), November 2015, article number 6977983, p. 2552-2565
Nikdast, Mahdi; Xu, Jiang; Duong, Huu Kinh Luan; Wu, Xiaowen; Wang, Xuan; Wang, Zhehui; Wang, Zhe; Yang, Peng; Ye, Yaoyao; Hao, Qinfen Article
Fat-Tree-Based Optical Interconnection Networks Under Crosstalk Noise Constraint
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (1), January 2015, article number 6744606, p. 156-169
Nikdast, Mahdi; Xu, Jiang; Duong, Luan Huu Kinh; Wu, Xiaowen; Wang, Zhehui; Wang, Xuan; Wang, Zhe Article
Adaptively Tolerate Power-Gating-Induced Power/Ground Noise under Process Variations
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 483-488
Wang, Zhe; Wang, Xuan; Xu, Jiang; Wu, Xiaowen; Wang, Zhehui; Yang, Peng; Duong, Huu Kinh Luan; Li, Haoran; Vivas maeda, Rafael Kioji; Wang, Zhifei Conference paper
Alleviate Chip I/O Pin Constraints for Multicore Processors through Optical Interconnects
20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015, March 2015, article number 7059107, p. 791-796
Wang, Zhehui; Xu, Jiang; Yang, Peng; Wang, Xuan; Wang, Zhe; Duong, Huu Kinh Luan; Wang, Zhifei; Li, Haoran; Vivas maeda, Rafael Kioji; Wu, Xiaowen; Ye, Yaoyao; Hao, Qinfen Conference paper
CLAP: A Crosstalk and Loss Analysis Platform for Optical Interconnects
Proceedings - 2014 8th IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2014, January 2015, article number 7008779, p. 172-173
Nikdast, Mahdi; Duong, Huu Kinh Luan; Xu, Jiang; Le beux, Sebastien; Wu, Xiaowen; Wang, Zhehui; Yang, Peng; Ye, Yaoyao Conference paper
Coherent Crosstalk Noise Analyses in Ring-based Optical Interconnects
Proceedings -Design, Automation and Test in Europe, DATE, v. 2015-April, April 2015, article number 7092440, p. 501-506
Duong, Huu Kinh Luan; Nikdast, Mahdi; Xu, Jiang; Wang, Zhehui; Thonnart, Yvain; Le beux, Sébastien; Yang, Peng; Wu, Xiaowen; Wang, Zhifei Conference paper
Memory Access Analysis of Many-core System with Abundant Bandwidth
Proceedings - IEEE 9th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2015, November 2015, article number 7328204, p. 187-194
Tang, Chuan; Liu, Dan; Xing, Zuocheng; Yang, Peng; Wang, Zhe; Xu, Jiang Conference paper

2014 12

A Case Study of Signal-to-Noise Ratio in Ring-Based Optical Networks-on-Chip
IEEE Design and Test, v. 31, (5), October 2014, article number 6849430, p. 55-65
Duong, Huu Kinh Luan; Nikdast, Mahdi; Le beux, Sebastien; Xu, Jiang; Wu, Xiaowen; Wang, Zhehui; Yang, Peng Article
Floorplan Optimization of Fat-Tree-Based Networks-on-Chip for Chip Multiprocessors
IEEE Transactions on Computers, v. 63, (6), June 2014, article number 6392822, p. 1446-1459
Wang, Zhehui; Xu, Jiang; Wu, Xiaowen; Ye, Yaoyao; Zhang, Wei; Nikdast, Mahdi; Wang, Xuan; Wang, Zhe Article
On-Chip Sensor Networks for Soft-Error Tolerant Real-Time Multiprocessor Systems-on-Chip
ACM Journal on Emerging Technologies in Computing Systems, v. 10, (2), February 2014, article number 15, p. 1-20
Liu, Weichen; Wang, Xuan; Xu, Jiang; Zhang, Wei; Ye, Yaoyao; Wu, Xiaowen; Nikdast, Mahdi; Wang, Zhehui Article
Systematic Analysis of Crosstalk Noise in Folded-Torus-Based Optical Networks-on-Chip
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 33, (3), March 2014, article number 6740052, p. 437-450
Nikdast, Mahdi; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Ye, Yaoyao; Wang, Xuan; Wang, Zhehui; Wang, Zhe Article
System-Level Modeling and Analysis of Thermal Effects in WDM-Based Optical Networks-on-Chip
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 33, (11), November 2014, article number 6930846, p. 1718-1731
Ye, Yaoyao; Wang, Zhehui; Yang, Peng; Xu, Jiang; Wu, Xiaowen; Wang, Xuan; Nikdast, Mahdi; Wang, Zhe; Duong, Huu Kinh Luan Article
UNION: A Unified Inter/Intrachip Optical Network for Chip Multiprocessors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (5), May 2014, article number 6544298, p. 1082-1095
Wu, Xiaowen; Ye, Yaoyao; Xu, Jiang; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan Article
A Case Study on the Communication and Computation Behaviors of Real Applications in NoC-based MPSoCs
Proceedings of IEEE Computer Society Annual Symposium on VLSI, September 2014, article number 6903410, p. 481-486
Wang, Zhe; Liu, Weichen; Xu, Jiang; Li, Bin; Iyer, Ravi; Illikkal, Ramesh; Wu, Xiaowen; Mow, Wai Ho; Ye, Wenjing Conference paper
A Systematic Network-on-Chip Traffic Modeling and Generation Methodology
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS, v. 2015-February, (February), 5 February 2015, article number 7032871, p. 675-678
Wang, Zhe; Liu, Weichen; Xu, Jiang; Wu, Xiaowen; Wang, Zhehui; Li, Bin; Iyer, Ravi; Illikkal, Ramesh Conference paper
Characterizing Power Delivery Systems with On/Off-chip Voltage Regulators for Many-core Processors
Proceedings -Design, Automation and Test in Europe, DATE, April 2014, article number 6800261
Wang, Xuan; Xu, Jiang; Wang, Zhe; Chen, Kevin Jing; Wu, Xiaowen; Wang, Zhehui Conference paper
Holistic Modeling and Comparison of Inter-Chip Optical and Electrical Interconnects
51st Design Automation Conference, San Francisco, CA, USA, 1-5 June 2014
Wang, Zhehui; Xu, Jiang; Wu, Xiaowen; Wang, Xuan; Wang, Zhe; Nikdast, Mahdi; Yang, Peng Conference paper
Introduction to the special session on “Silicon photonic interconnects: an illusion or a realistic solution?”
Proceedings - 2014 8th IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2014, January 2015, article number 7008776, p. 167
Xu, Jiang; Le Beux, Sebastien; Thonnart, Yvain Conference paper
On the Impact of Crosstalk Noise in Optical Networks-on-Chip
51st Design Automation Conference (DAC), San Francisco, CA, USA, 1-5 June 2014
Nikdast, Mahdi; Xu, Jiang Conference paper

2013 8

3-D Mesh-Based Optical Network-on-Chip for Multiprocessor System-on-Chip
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 32, (4), April 2013, article number 6480869, p. 584-596
Ye, Yaoyao; Xu, Jiang; Huang, Baihan; Wu, Xiaowen; Zhang, Wei; Wang, Xuan; Nikdast, Mahdi; Wang, Zhehui; Liu, Weichen Article
Five-Port Optical Router Based on Microring Switches for Photonic Networks-on-Chip
IEEE Photonics Technology Letters, v. 25, (5), 2013, article number 6423208, p. 492-495
Ji, Ruiqiang; Xu, Jiang; Yang, Lin Article
Formal Worst-Case Analysis of Crosstalk Noise in Mesh-Based Optical Networks-on-Chip
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 21, (10), October 2013, article number 6352932, p. 1823-1836
Xie, Yiyuan; Nikdast, Mahdi; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Ye, Yaoyao; Wang, Xuan; Wang, Zhehui; Liu, Weichen Article
On-Chip Sensor Network for Efficient Management of Power Gating-Induced Power/Ground Noise in Multiprocessor System on Chip
IEEE Transactions on Parallel and Distributed Systems, v. 24, (4), April 2013, article number 6226379, p. 767-777
Liu, Weichen; Wang, Yu; Wang, Xuan; Xu, Jiang; Yang, Huazhong Article
System-Level Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 21, (2), 2013, article number 6146413, p. 292-305
Ye, Yaoyao; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Wang, Xuan; Nikdast, Mahdi; Wang, Zhehui; Liu, Weichen Article
A Network-on-Chip Benchmark Suite Based on Real Applications
4th Workshop on SoCs, Heterogeneous Architectures and Workloads, Shenzhen, China, 24 February 2013
Liu, Weichen; Wang, Zhe; Wu, Xiaowen; Xu, Jiang; Li, Bin; Zhang, Wei; Ye, Yaoyao; Wang, Zhehui; Nikdast, Mahdi Conference paper
Active Power-Gating-Induced Power/Ground Noise Alleviation Using Parasitic Capacitance of On-Chip Memories
Proceedings -Design, Automation and Test in Europe, v. 2013, May 2013, article number 6513699, p. 1221-1224
Wang, Xuan; Xu, Jiang; Zhang, Wei; Wu, Xiaowen; Ye, Yaoyao; Wang, Zhehui; Nikdast, Mahdi; Wang, Zhe Conference paper
System-level Analysis of Mesh-based Hybrid Optical-Electronic Network-on-Chip
Proceedings - IEEE International Symposium on Circuits and Systems, August 2013, article number 6571846, p. 321-324
Ye, Yaoyao; Wu, Xiaowen; Xu, Jiang; Nikdast, Mahdi; Wang, Zhehui; Wang, Xuan; Wang, Zhe Conference paper

2012 7

A Formal Study on Topology and Floorplan Characteristics of Mesh and Torus-based Optical Networks-on-Chip
Microprocessors and Microsystems: Embedded Hardware Design, v. 37, (8), November 2013, p. 941-952, Part B
Feng, Kai; Ye, Yaoyao; Xu, Jiang Article
A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip
ACM Journal on Emerging Technologies in Computing Systems, v. 8, (1), February 2012, article number 5, p. 1-26
Ye, Yaoyao; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi Article
Crosstalk noise analysis and optimization in 5 × 5 hitless silicon-based optical router for optical networks-on-chip (ONOC)
Journal of Lightwave Technology, v. 30, (1), January 2012, article number 6096346, p. 198-203
Xie, Yiyuan; Xu, Jiang; Zhang, Jianguo; Wu, Zhengmao; Xia, Guanqiong Article
FONoC: a Fat Tree Based Optical Network-on-Chip for Multiprocessor System-on-Chip
Integrated Optical Interconnect Architectures for Embedded Systems / Editor: Ian O'Connor, Gabriela Nicolescu. New York, NY : Springer, 2012, p. 137-152
Xu, Jiang; Gu, Huaxi; Zhang, Wei; Liu, Weichen Book chapter
A Novel Low-Waveguide-Crossing Floorplan for Fat Tree Based Optical Networks-on-Chip
2012 Optical Interconnects Conference, OIC 2012 / IEEE. New York, NY, USA : IEEE, 2012, p. 100-101, Article no.: 6224427
Wang, Zhehui; Xu, Jiang; Wu, Xiaowen; Ye, Yaoyao; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan; Wang, Zhe Conference paper
Holistic Comparison of Optical Routers for Chip Multiprocessors
Proceedings of the International Conference on Anti-Counterfeiting, Security and Identification, ASID, article number 6325348
Ye, Yaoyao; Wu, Xiaowen; Xu, Jiang; Zhang, Wei; Nikdast, Mahdi; Wang, Xuan Conference paper
Thermal analysis for 3D optical network-on-chip based on a novel low-cost 6x6 optical router
2012 Optical Interconnects Conference, Santa Fe, USA, 20-23 May 2012
Ye, Yaoyao; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan; Wang, Zhehui; Wang, Zhe Conference paper

2011 8

Coroutine-based synthesis of efficient embedded software from SystemC models
IEEE embedded systems letters, v. 3, (1), March 2011, p. 46-49
Liu, Weichen; Xu, Jiang; Muppala, Jogesh; Zhang, Wei; Wu, Xiaowen; Ye, Yaoyao Article
Elimination of cross-talk in silicon-on-insulator waveguide crossings with optimized angle
Optical Engineering, v. 50, (6), June 2011, article number 064601
Xie, Yiyuan; Xu, Jiang; Zhang, Jianguo Article
Novel RD-Optimized VBSME with Matching Highly Data Re-Usable Hardware Architecture
IEEE Transactions on Circuits and Systems for Video Technology, v. 21, (2), February 2011, article number 5688307, p. 206-219
Wen, Xing; Au, Oscar Chi Lim; Xu, Jiang; Fang, Lu; Cha, Run; Li, Jiali Article
Power Gating Aware Task Scheduling in MPSoC
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 19, (10), October 2011, p. 1801-1812
Wang, Yu; Xu, Jiang; Xu, Yan; Liu, Weichen; Yang, Huazhong Article
Satisfiability Modulo Graph Theory for Task Mapping and Scheduling on Multiprocessor Systems
IEEE Transactions on Parallel and Distributed Systems, v. 22, (8), August 2011, p. 1382-1389
Liu, Weichen; Gu, Zonghua; Xu, Jiang; Wu, Xiaowen; Ye, Yaoyao Article
A NoC traffic suite based on real applications
Proceedings - 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, August 2011, article number 5992461, p. 66-71
Liu, Weichen; Xu, Jiang; Wu, Xiaowen; Ye, Yaoyao; Wang, Xuan; Zhang, Wei; Nikdast, Mahdi; Wang, Zhehui Conference paper
Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip
Proceedings - 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, August 2011, article number 5992489, p. 254-259
Ye, Yaoyao; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Wang, Xuan; Nikdast, Mahdi; Wang, Zhehui; Liu, Weichen Conference paper
Sub-pixel downsampling of video with matching highly data re-use hardware architecture
2011 IEEE International Symposium on Circuits and Systems (ISCAS), July 2011, article number 5937858, p. 1495-1499
Wen, Xing; Au, Oscar Chi Lim; Xu, Jiang; Fang, Lu; Cha, Run Conference paper

2010 12

A new distributed congestion control mechanism for networks on chip
Telecommunication Systems, v. 44, (3-4), August 2010, p. 321-331
Gu, Huaxi; Xu, Jiang; Wang, Kun; Mo, Kwai Hung Morton Article
Design of butterfly-fat-tree optical network on-chip
Optical Engineering, v. 49, (9), September 2010, article number 095402
Gu, Huaxi; Wang, Shiqing; Yang, Yintang; Xu, Jiang Article
Simultaneous OTDM demultiplexing and data format conversion using A D flip-flop
Microwave and optical technology letters, v. 52, (2), February 2010, p. 398-400
Xie, Yiyuan; Zhang, Jianguo; Xu, Jiang Article
Integrated Microsystems: MEMs, Bio and Photonics Interfaces
Integrated Microsystems: MEMs, Bio and Photonics Interfaces / CRC Press, 2010
Liu, Weichen; Xu, Jiang; Muppala, K. R. Jogesh Book chapter
A hardware-software collaborated method for soft-error tolerant MPSoC
Proceedings of 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, 2011, article number 5992490, p. 260-265
Liu, Weichen; Xu, Jiang; Wang, Xuan; Wang, Yu; Zhang, Wei; Ye, Yaoyao; Wu, Xiaowen; Nikdast, Mahdi; Wang, Zhehui Conference paper
A Hierarchical Hybrid Optical-Electronic Network-on-Chip
Proceedings - IEEE Annual Symposium on VLSI, ISVLSI 2010, 2010, p. 327-332
Mo, Kun Wang; Ye, Yaoyao; Wu, Xiaowen; Zhang, Wei; Liu, Weichen; Xu, Jiang Conference paper
A Highly Data Reusable and Standard-Compliant Motion Estimation Hardware Architecture
2010 IEEE International Conference on Multimedia and Expo, September 2010, article number 5583300, p. 220-225
Wen, Xing; Au, Oscar Chi Lim; Xu, Jiang; Fang, Lu; Cha, Run; Li, Jiali Conference paper
A low power and standard-compliant RDO motion estimation hardware architecture for VBSME
1st International Conference on Green Circuits and Systems, ICGCS 2010, August 2010, article number 5543104, p. 24-29
Wen, Xing; Au, Oscar Chi Lim; Xu, Jiang; Fang, Lu; Cha, Run; Li, Jiali Conference paper
Crosstalk noise and bit error rate analysis for optical network-on-chip
Proceedings - Design Automation Conference, June 2010, p. 657-660
Xie, Yiyuan; Nikdast, Mahdi; Xu,Jiang; Zhang, Wei; Li, Qi; Wu, Xiaowen; Ye, Yaoyao; Wang, Xuan; Liu, Weichen Conference paper
Performance evaluation of on-chip sensor network (SENoC) in MPSoC
1st International Conference on Green Circuits and Systems, ICGCS 2010, August 2010, article number 5543046, p. 323-327
Wang, Yao; Wang, Yu; Xu, Jiang; Yang, Huazhong Conference paper
Simulation and Analysis of P/G Noise in TSV based 3D MPSoC
International Conference on Green Circuits and Systems
Tao, Shuai; Wang, Yu; Xu, Jiang; Ma, Yuchun; Xie, Yuan; Yang, Huazhong Conference paper
UNION: A Unified Inter/Intra-Chip Optical Network for chip multiprocessors
Proceedings of the 6th IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH, Anaheim, California, 17-18 Jun 2010, p. 35-40
Wu, Xiaowen; Ye, Yaoyao; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan; Xu, Jiang Conference paper

2009 11

Double-Data-Rate, Wave-Pipelined Interconnect for Asynchronous NoCs
IEEE Micro, v. 29, (3), May-June 2009, p. 20-30
Xu, Jiang; Wolf, Wayne; Zhang, Wei Article
Efficient algorithms for 2D area management and online task placement on runtime reconfigurable FPGAs
Microprocessors and Microsystems, v. 33, (5-6), August 2009, p. 374-387
Gu, Zonghua; Liu, Weichen; Xu, Jiang; Cui, Jin; He, Xiuqiang; Deng, Qingxu Article
Efficient Software Synthesis for Dynamic Single Appearance Scheduling of Synchronous Dataflow
IEEE Embedded Systems Letters, v. 1, (3), October 2009, p. 69-72
Liu, Weichen; Gu, Zonghua; Xu, Jiang Article
3D Optical Networks-on-chip (NoC) for Multiprocessor Systems-on-chip (MPSoC)
2009 IEEE International Conference on 3D System Integration, September 2009, article number 5306588
Ye, Yaoyao; Duan, Lian; Xu, Jiang; Ouyang, Jin; Hung, Mo Kwai; Xie, Yuan Conference paper
A case study of on-chip sensor network in multiprocessor system-on-chip
Proceedings of the 2009 international conference on Compilers, architecture, and synthesis for embedded systems, October 2009, p. 241-250
Wang, Yu; Xu, Jiang; Huang, Shengxi; Liu, Weichen; Yang, Huazhong Conference paper
A Low-Power Fat Tree-based Optical Network-on-Chip for Multiprocessor System-on-Chip
Proceedings -Design, Automation and Test in Europe, DATE, June 2009, article number 5090624, p. 3-8
Gu, Huaxi; Xu, Jiang; Zhang, Wei Conference paper
A Low-power Low-cost Optical Router for Optical Networks-on-Chip in Multiprocessor Systems-on-Chip
Proceedings of the 2009 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2009, June 2009, article number 5076377, p. 19-24
Gu, Huaxi; Mo, Kwai Hung Morton; Xu, Jiang; Zhang, Wei Conference paper
A novel multiple description video coding based on H.264/AVC video coding standard
Proceedings - IEEE International Symposium on Circuits and Systems, June 2009, article number 5117986, p. 1237-1240
Wen, Xing; Au, Oscar Chi Lim; Xu, Jiang; Liang, Zhiqin; Yang, Yi; Tang, Weiran Conference paper
An efficient technique for analysis of minimal buffer requirements of synchronous dataflow graphs with model checking
Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis, October 2009, p. 61-70
Liu, Weichen; Gu, Zonghua; Xu, Jiang; Wang, Yu; Yuan, Mingxuan Conference paper
Design of 3D Optical Network on Chip
2009 Symposium on Photonics and Optoelectronics, SOPO 2009, September 2009, article number 5230071, p. 771-774
Gu, Huaxi; Xu, Jiang Conference paper
On-line MPSoC scheduling considering power gating induced power/ground noise
Proceedings of the 2009 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2009, June 2009, article number 5076392, p. 109-114
Xu, Yan; Liu, Weichen; Wang, Yu; Xu, Jiang; Chen, Xiaoming; Yang, Huazhong Conference paper

2008 5

Reducing Wavelength Conversion Range in Space/Wavelength Switches
IEEE Photonics Technology Letters, v. 20, (17), September 2008, p. 1458-1460
Lin, Bey-Chi; Lea, Chin-Tau; Tsang, Danny; Xu, Jiang Article
A novel optical mesh network-on-chip for gigascale systems-on-chip
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS, January 2009, article number 4746373, p. 728-731
Gu, Huaxi; Xu, Jiang; Wang, Zheng Conference paper
Design ASNoC for Low-Power SoCs
2008 International SoC Design Conference, ISOCC 2008, v. 1, April 2009, article number 4815587, p. 117-120
Xu, Jiang; Zhang, Wei; Mo, Kwai Hung Morton; Shao, Zili Conference paper
Design of sparse mesh for optical network on chip
Proceedings of SPIE - The International Society for Optical Engineering, v. 7136, November 2008, article number 71362F
Gu, Huaxi; Xu, Jiang; Wang, Zheng Conference paper
ODOR: A microresonator-based high-performance low-cost router for optical networks-on-chip
Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis, October 2008, p. 203-208
Gu, Huaxi; Xu, Jiang; Wang, Zhehui Conference paper

2006 1

A Design Methodology for Application-Specific Networks-on-Chip
ACM Transactions on Embedded Computing Systems, v. 5, (2), May 2006, p. 263-280
Xu, Jiang; Wolf, Wayne; Henkel, Joerg; Chakradhar, Srimat Article

2005 2

A Methodology for Design, Modeling, and Analysis of Networks-on-Chip
IEEE International Symposium on Circuits and Systems (ISCAS), Kobe, Japan, May 2005
Xu, Jiang; Wolf, Wayne; Henkel, Joerg; Chakradhar, Srimat Conference paper
H.264 HDTV Decoder Using Application-Specific Networks-on-Chip
IEEE International Conference on Multimedia and Expo, ICME 2005, v. 2005, October 2005, article number 1521719, p. 1508-1511
Xu, Jiang; Wolf, Wayne; Henkel, Joerg; Chakradhar, Srimat Conference paper

2004 3

A Methodology for Architectural Design of Multimedia Multiprocessor SoCs
IEEE Design & Test of Computers, v. 22, (1), January 2005, p. 18-26
Lv, Tiehan; Xu, Jiang; Ozer, I. Burak; Wolf, Wayne; Henkel, J?rg; Chakradhar, Srimat Article
Multiprocessor System-on-Chip Architectures for Video
Multiprocessor Systems-on-Chips / 2004, Morgan Kaufmann
Dutta, Santanu; Rennert, Jens; Lv, Tiehan; Xu, Jiang; Yang, Shengqi; Wolf, Wayne Book chapter
A Case Study in Networks-on-Chip Design for Embedded Video
Proceedings - Design, Automation and Test in Europe Conference and Exhibition, v. 2, March 2004, p. 770-775
Xu, Jiang; Wolf, Wayne; Henkel, Joerg; Chakradhar, Srimat; Lv, Tiehan Conference paper

2003 2

Augmenting Platform-based Design with Synthesis Tools
Journal of Circuits, Systems, and Computers, v. 12, (2), April 2003, p. 125-142
Xie, Yuan; Xu, Jiang; Wolf, Wayne Article
A Wave-Pipelined On-chip Interconnect Structure for Networks-on-Chip
Proceedings - 11th Symposium on High Performance Interconnects, HOTI 2003, September 2003, article number 1231471, p. 10-14
Xu, Jiang; Wolf, Wayne Conference paper

2002 2

Platform-Based Design and the First Generation Dilemma
Electronic Design Process Workshop (EDP), Monterey, USA, April 2002
Xu, Jiang; Wolf, Wayne Conference paper
Wave Pipelining for Application-Specific Networks-on-Chip
International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES), Grenoble, France, October 2002
Xu, Jiang; Wolf, Wayne Conference paper





Article 3

HERO: Pbit High-Radix Optical Switch based on Integrated Silicon Photonics for Data Center
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 23 March 2021
Wang, Zhifei; Feng, Jun; Xu, Jiang; Chen, Xuanqi; Zhang, Jiaxu; Chen, Shixi; Liu, Yinyi
Reduce Loss and Crosstalk in Integrated Silicon-Photonic Multistage Switching Fabrics through Multichip Partition
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 40, (1), January 2021, article number 9089039, p. 101-114
Wang, Zhehui; Wang, Zhifei; Xu, Jiang; Feng, Jun; Chen, Shixi; Chen, Xuanqi; Zhang, Jiaxu
Simultaneously Tolerate Thermal and Process Variations through Indirect Feedback Tuning for Silicon Photonic Networks
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 40, (7), July 2021, article number 9171888, p. 1409-1422
Chen, Xuanqi; Feng, Jun; Xu, Jiang; Zhang, Jiaxu; Chen, Shixi

Conference paper 1

Multi-core Power Management Through Deep Reinforcement Learning
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2021-May, May 2021, article number 9401447
Tian, Zhongyuan; Chen, Lin; Li, Xiao; Feng, Jun; Xu, Jiang





Article 5

CAMON: Low-Cost Silicon Photonic Chiplet for Manycore Processors
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 39, (9), September 2020, article number 8755274, p. 1820-1833
Wang, Zhehui; Wang, Zhifei; Xu, Jiang; Chang, Yi-Shing; Feng, Jun; Chen, Xuanqi; Chen, Shixi; Zhang, Jiaxu
Chip-Specific Power Delivery and Consumption Co-Management for Process Variation-Aware Manycore Systems Using Reinforcement Learning
IEEE Transactions on Very Large Scale Integration Systems, v. 28, (5), May 2020, article number 8974238, p. 1150-1163
Li, Haoran; Tian, Zhongyuan; Xu, Jiang; Vivas Maeda, Rafael Kioji; Wang, Zhehui; Wang, Zhifei
Modeling and Analysis of Optical Modulators Based on Free-Carrier Plasma Dispersion Effect
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 39, (5), May 2020, article number 8675505, p. 977-990
Chen, Xuanqi; Wang, Zhifei; Chang, Yi-Shing; Xu, Jiang; Feng, Jun; Yang, Peng; Wang, Zhehui; Duong, Huu Kinh Luan
Multi-Device Collaborative Power Management through Decentralized Knowledge Sharing
IEEE Transactions on Very Large Scale Integration Systems, v. 28, (5), May 2020, p. 1128-1140
Tian, Zhongyuan; Xu, Jiang; Li, Haoran; Vivas Maeda, Rafael Kioji
Multidomain Inter/Intrachip Silicon Photonic Networks for Energy-Efficient Rack-Scale Computing Systems
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 39, (3), March 2020, p. 626-639
Yang, Peng; Wang, Zhehui; Wang, Zhifei; Xu, Jiang; Chang, Yi-Shing; Chen, Xuanqi; Vivas Maeda, Rafael Kioji; Feng, Jun

Book chapter 1

A Fast Joint Application-Architecture Exploration Platform for Heterogeneous Systems
Embedded, Cyber-Physical, and IoT Systems: Essays Dedicated to Marilyn Wolf on the Occasion of Her 60th Birthday / Bhattacharyya, Shuvra, Potkonjak, Miodrag, Velipasalar, Senem (Eds.). Springer, 2020, p. 203-232
Vivas Maeda, Rafael Kioji; Yang, Peng; Li, Haoran; Tian, Zhongyuan; Wang, Zhehui; Wang, Zhifei; Chen, Xuanqi; Feng, Jun; Xu, Jiang

Conference paper 1

Efficient Optical Power Delivery System for Hybrid Electronic-Photonic Manycore Processors
Proceedings of the 2020 Design, Automation and Test in Europe Conference and Exhibition, DATE 2020, March 2020, article number 9116328, p. 1628-1633
Chen, Shixi; Xu, Jiang; Chen, Xuanqi; Wang, Zhifei; Feng, Jun; Zhang, Jiaxu; Tian, Zhongyuan; Li, Xiao





Article 2

A Cross-Layer Optimization Framework for Integrated Optical Switches in Data Centers
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 39, (3), March 2020, p. 640-653
Wang, Zhifei; Yang, Peng; Chang, Yi-Shing; Xu, Jiang; Chen, Xuanqi; Wang, Zhehui; Feng, Jun
Crosstalk noise reduction through adaptive power control in inter/intra-chip optical networks
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 38, (1), January 2019, article number 8279547, p. 43-56
Duong, Huu Kinh Luan; Yang, Peng; Wang, Zhifei; Chang, Yi-Shing; Xu, Jiang; Wang, Zhehui; Chen, Xuanqi

Conference paper 3

Decentralized Collaborative Power Management through Multi-Device Knowledge Sharing
Proceedings - 2018 IEEE 36th International Conference on Computer Design, ICCD 2018, 16 January 2019, article number 8615718, p. 409-412
Tian, Zhongyuan; Li, Haoran; Vivas Maeda, Rafael Kioji; Feng, Jun; Xu, Jiang
Scalable low-power high-performance rack-scale optical network
Proceedings of PHOTONICS 2019: Photonics-Optics Technology Oriented Networking, Information, and Computing Systems - Held in conjunction with SC 2019: The International Conference for High Performance Computing, Networking, Storage and Analysis, November 2019, article number 8950756, p. 1-6
Feng, Jun; Wang, Zhehui; Chen, Xuanqi; Chen, Shixi; Zhang, Jiaxu; Xu, Jiang
Systematic exploration of high-radix integrated silicon photonic switches for datacenters
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, November 2019, article number 8942144
Wang, Zhifei; Feng, Jun; Chen, Xuanqi; Wang, Zhehui; Zhang, Jiaxu; Chen, Shixi; Xu, Jiang





Article 3

A Systematic and Realistic Network-on-chip Traffic Modeling and Generation Technique for Emerging Many-core Systems
IEEE Transactions on Multi-Scale Computing Systems, v. 4, (2), April-June 2018, p. 113-126
Liu, weichen; Wang, Zhe; Yang, Peng; Xu, Jiang; Li, Bin; Iyer, Ravi; Illikkal, Ramesh
Collaborative Power Management through Knowledge Sharing Among Multiple Devices
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 38, (7), July 2019, article number 8360054, p. 1203-1215
Tian, Zhongyuan; Wang, Zhe; Xu, Jiang; Li, Haoran; Yang, Peng; Vivas maeda, Rafael Kioji
Workload-aware adaptive power delivery system management for many-core processors
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 37, (10), October 2018, article number 8122040, p. 2076-2086
Li, Haoran; Xu, Jiang; Wang, Zhe; Vivas maeda, Rafael Kioji; Yang, Peng; Tian, Zhongyuan

Conference paper 6

A Comprehensive Electro-Optical Model for Silicon Photonic Switches
Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI, v. 2018-July, August 2018, article number 8429345, p. 76-81
Chen, Xuanqi; Wang, Zhifei; Chang, Yi-Shing; Xu, Jiang; Yang, Peng; Wang, Zhehui; Duong, Huu Kinh Luan
Co-Manage Power Delivery and Consumption for Manycore Systems Using Reinforcement Learning
Proceedings of the International Conference on Computer-Aided Design, November 2018, article number 34, p. 1-8
Li, Haoran; Tian, Zhongyuan; Vivas maeda, Rafael Kioji; Chen, Xuanqi; Feng, Jun; Xu, Jiang
Cross-Layer Optimization for High-Radix Integrated Optical Switches in Data Centers
2018 7th Annual IEEE Photonics Society Optical Interconnects Conference, OI 2018, July 2018, article number 8422041, p. 35-36
Wang, Zhifei; Yang, Peng; Chang, Yi Shing; Xu, Jiang; Chen, Xuanqi; Duong, Luan H.K.; Duong, Huu Kinh Luan
Lattice-matched metastable Zinc-blende MnSe1-xTex on ZnTe as a promising THz emitter material
粵港澳大灣區物理學會2018聯合年會
Cheng, Man Kit; Liang, Jing; Xu, Jian; Lai, Ying Hoi; Ho, Sut Kam; Tam, Kam Weng; Sou, Iam Keong
Multi-Device Collaborative Management Through Knowledge Sharing
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2018-January, February 2018, p. 22-27
Tian, Zhongyuan; Wang, zhe; Li, Haoran; Yang, Peng; Vivas maeda, Rafael Kioji; Xu, Jiang
RSON: an Inter/Intra-Chip Silicon Photonic Network for Rack-scale Computing Systems
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, v. 2018-January, April 2018, p. 1369-1374, Code 136090
Yang, Peng; Pang, zhengbin; Wang, Zhifei; Wang, Zhehui; Xie, Min; Chen, Xuanqi; Duong, Huu Kinh Luan; Xu, Jiang





Article 3

Alleviate Chip Pin Constraint for Multicore Processor by On/Off-Chip Power Delivery System Codesign
ACM Journal on Emerging Technologies in Computing Systems, v. 13, (2), March 2017, article number 19, p. 1-24
Wang, Xuan; Xu, Jiang; Wang, Zhe; Li, Haoran; Wang, Zhehui; Yang, Peng; Duong, Luan Huu Kinh; Vivas maeda, Rafael Kioji; Wang, Zhifei
Energy-Efficient Power Delivery System Paradigms for Many-Core Processors
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 36, (3), March 2017, article number 7498688, p. 449-462
Li, Haoran; Wang, Xuan; Xu, Jiang; Wang, Zhe; Vivas maeda, Rafael Kioji; Wang, Zhehui; Yang, Peng; Duong, Luan Huu Kinh; Wang, Zhifei
High-Radix Nonblocking Integrated Optical Switching Fabric for Data Center
Journal of Lightwave Technology, v. 35, (19), October 2017, article number 8006211, p. 4268-4281
Wang, Zhifei; Xu, Jiang; Yang, Peng; Wang, Zhehui; Duong, Huu Kinh Luan; Chen, Xuanqi

Book 1

Photonic Interconnects for Computing Systems: Understanding and Pushing Design Challenges
Photonic Interconnects for Computing Systems: Understanding and Pushing Design Challenges / Edited by Mahdi Nikdast, Gabriela Nicolescu, Sebastien le Beux and Xu, Jiang. Aalborg: River Publishers, 2017. River Publishers Series in Optics and Photonics.
Mahdi Nikdast; Gabriela Nicolescu; Sebastien le Beux; Xu, Jiang

Book chapter 3

Introduction
Photonic interconnects for computing systems: Understanding and pushing design challenges english / Nikdast, M., Nicolescu, G., Beux, S.L. and Xu, J.. River Publishers, 2017, p. 1-8
Nikdast, M.; Nicolescu, G.; Beux, S.L.; Xu, Jiang
Photonic interconnects for computing systems: Understanding and pushing design challenges english
Photonic interconnects for computing systems: Understanding and pushing design challenges english / River Publishers, 2017, p. 1-412, / by Nikdast, M., Nicolescu, G., Beux, S.L. and Xu, J. River Publishers, 2017.
Nikdast, M.; Nicolescu, G.; Beux, S.L.; Xu, Jiang
Unified inter-and intra-chip optical interconnect networks
Photonic Interconnects for Computing Systems: Understanding and Pushing Design Challenges English / edited by Nikdast, M., Nicolescu, G., Beux, S.L. and Xu, J.. River Publishers, 2017, p. 11-40
Yang, Peng; Wu, Xiaowen; Ye, Y.; Xu, Jiang

Conference paper 5

Adaptive Power Delivery System Management for Many-Core Processors with On/Off-Chip Voltage Regulators
IEEE/ACM Design, Automation and Test in Europe (DATE), May 2017, article number 7927185, p. 1265-1268
Li, Haoran; Xu, Jiang; Wang, Zhe; Yang, Peng; Vivas maeda, Rafael Kioji; Tian, Zhongyuan
Comparisons of a Novel Optical Space Switch and AWGR
Optics InfoBase Conference Papers, v. Part F52-IPRSN, 2017, article number JTu4A.24
Wang, Zhifei; Yang, Peng; Xu, Jiang; Chen, Xuanqi; Wang, Zhehui; Duong, Huu Kinh Luan
Fast and Accurate Exploration of Multi-Level Caches Using Hierarchical Reuse Distance
Proceedings - International Symposium on High-Performance Computer Architecture, May 2017, article number 7920821, p. 145-156
Vivas maeda, Rafael Kioji; Cai, Qiong; Xu, Jiang; Wang, Zhe; Tian, Zhongyuan
MOCA: an Inter/Intra-Chip Optical Network for Memory
DAC '17: Proceedings of the 54th Annual Design Automation Conference 2017, June 2017, article number 86
Wang, Zhehui; Pang, Zhengbin; Yang, Peng; Xu, Jiang; Chen, Xuanqi; Vivas maeda, Rafael Kioji; Wang, Zhifei; Duong, Huu Kinh Luan; Li, Haoran; Wang, Zhe
Thermal-sensitive Design and Power Optimization for a 3D Torus-based Optical NoC
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, v. 2017-November, December 2017, p. 827-834
Yao, Kang; Ye, Yaoyao; Pasricha, Sudeep; Xu, Jiang





Article 6

A Holistic Modeling and Analysis of Optical-Electrical Interfaces for Inter/Intra-chip Interconnects
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (7), July 2016, article number 7389433, p. 2462-2474
Wang, Zhehui; Xu, Jiang; Yang, Peng; Duong, Luan Huu Kinh; Wang, Zhifei; Wang, Xuan; Wang, Zhe; Li, Haoran; Vivas maeda, Rafael Kioji
An Adaptive Process-Variation-Aware Technique for Power-Gating-Induced Power/Ground Noise Mitigation in MPSoC
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (12), December 2016, article number 7463494, p. 3373-3386
Wang, Zhe; Wang, Xuan; Xu, Jiang; Li, Haoran; Vivas Maeda, Rafael Kioji; Wang, Zhehui; Yang, Peng; Duong, Luan Huu Kinh; Wang, Zhifei
Coherent and Incoherent Crosstalk Noise Analyses in Interchip/Intrachip Optical Interconnection Networks
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (7), July 2016, p. 2475-2487
Duong, Huu Kinh Luan; Wang, Zhehui; Nikdast, Mahdi; Xu, Jiang; Yang, Peng; Wang, Zhifei; Wang, Zhe; Vivas Maeda, Rafael Kioji; Li, Haoran; Wang, Xuan; Le-beux, Sébastien; Thonnart, Yvain
Distributed Sensor Network-on-Chip for Performance Optimization of Soft-Error-Tolerant Multiprocessor System-on-Chip
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (4), April 2016, article number 7202910, p. 1546-1559
Liu, Weichen; Zhang, Wei; Wang, Xuan; Xu, Jiang
Improve Chip Pin Performance Using Optical Interconnects
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (4), April 2016, p. 1574-1587
Wang, Zhehui; Xu, Jiang; Yang, Peng; Wang, Xuan; Wang, Zhe; Duong, Huu Kinh Luan; Wang, Zhifei; Vivas Maeda, Rafael Kioji; Li, Haoran
Low-Loss High-Radix Integrated Optical Switch Networks for Software-Defined Servers
Journal of Lightwave Technology, v. 34, (18), September 2016, p. 4364-4375
Wang, Zhifei; Wang, Zhehui; Xu, Jiang; Yang, Peng; Duong, Luan Huu Kinh; Wang, Zhe; Li, Haoran; Vivas Maeda, Rafael Kioji

Conference paper 3

Inter/intra-chip Optical Interconnection Network: Opportunities, Challenges, and Implementations
2016 10th IEEE/ACM International Symposium on Networks-on-Chip (NOCS 2016), October 2016, article number 7579343, p. 171-178
Yang, Peng; Nakamura, Shigeru; Yashiki, Kenichiro; Wang, Zhehui; Duong, Huu Kinh Luan; Wang, Zhifei; Chen, Xuanqi; Nakamura, Yuichi; Xu, Jiang
JADE: a Heterogeneous Multiprocessor System Simulation Platform Using Recorded and Statistical Application Models
Proceedings of the 1st International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems, January 2016, article number 8, p. 1-6
Vivas maeda, Rafael Kioji; Yang, Peng; Wu, Xiaowen; Wang, Zhe; Xu, Jiang; Wang, Zhehui; Li, Haoran; Duong, Huu Kinh Luan; Wang, Zhifei
Panel discussions “computing and communication evolution for IoT innovations”
2016 IEEE Symposium in Low-Power and High-Speed Chips (COOL CHIPS XIX), Yokohama, Japan, 20-22 April 2016
Nishi, Hiroaki; Xu, Jiang; Benini, Luca; McCool, Michael; Sakamoto, Toshitsugu; Fujimoto, Shingo





Article 5

Actively Alleviate Power-Gating-Induced Power/Ground Noise Using Parasitic Capacitance of On-Chip Memories in MPSoC
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (2), February 2015, article number 6767132, p. 266-279
Wang, Xuan; Xu, Jiang; Zhang, Wei; Wu, Xiaowen; Ye, Yaoyao; Wang, Zhehui; Nikdast, Mahdi; Wang, Zhe
An Analytical Study of Power Delivery Systems for Many-Core Processors Using On-Chip and Off-Chip Voltage Regulators
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 34, (9), September 2015, article number 7061385, p. 1401-1414
Wang, Xuan; Xu, Jiang; Wang, Zhe; Chen, Kevin J.; Wu, Xiaowen; Wang, Zhehui; Yang, Peng; Duong, Luan Huu Kinh
An Inter/Intra-Chip Optical Network for Manycore Processors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (4), April 2015, article number 6815717, p. 678-691
Wu, Xiaowen; Xu, Jiang; Ye, Yaoyao; Wang, Xuan; Nikdast, Mahdi; Wang, Zhehui; Wang, Zhe
Crosstalk Noise in WDM-Based Optical Networks-on-Chip: A Formal Study and Comparison
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (11), November 2015, article number 6977983, p. 2552-2565
Nikdast, Mahdi; Xu, Jiang; Duong, Huu Kinh Luan; Wu, Xiaowen; Wang, Xuan; Wang, Zhehui; Wang, Zhe; Yang, Peng; Ye, Yaoyao; Hao, Qinfen
Fat-Tree-Based Optical Interconnection Networks Under Crosstalk Noise Constraint
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (1), January 2015, article number 6744606, p. 156-169
Nikdast, Mahdi; Xu, Jiang; Duong, Luan Huu Kinh; Wu, Xiaowen; Wang, Zhehui; Wang, Xuan; Wang, Zhe

Conference paper 5

Adaptively Tolerate Power-Gating-Induced Power/Ground Noise under Process Variations
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 483-488
Wang, Zhe; Wang, Xuan; Xu, Jiang; Wu, Xiaowen; Wang, Zhehui; Yang, Peng; Duong, Huu Kinh Luan; Li, Haoran; Vivas maeda, Rafael Kioji; Wang, Zhifei
Alleviate Chip I/O Pin Constraints for Multicore Processors through Optical Interconnects
20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015, March 2015, article number 7059107, p. 791-796
Wang, Zhehui; Xu, Jiang; Yang, Peng; Wang, Xuan; Wang, Zhe; Duong, Huu Kinh Luan; Wang, Zhifei; Li, Haoran; Vivas maeda, Rafael Kioji; Wu, Xiaowen; Ye, Yaoyao; Hao, Qinfen
CLAP: A Crosstalk and Loss Analysis Platform for Optical Interconnects
Proceedings - 2014 8th IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2014, January 2015, article number 7008779, p. 172-173
Nikdast, Mahdi; Duong, Huu Kinh Luan; Xu, Jiang; Le beux, Sebastien; Wu, Xiaowen; Wang, Zhehui; Yang, Peng; Ye, Yaoyao
Coherent Crosstalk Noise Analyses in Ring-based Optical Interconnects
Proceedings -Design, Automation and Test in Europe, DATE, v. 2015-April, April 2015, article number 7092440, p. 501-506
Duong, Huu Kinh Luan; Nikdast, Mahdi; Xu, Jiang; Wang, Zhehui; Thonnart, Yvain; Le beux, Sébastien; Yang, Peng; Wu, Xiaowen; Wang, Zhifei
Memory Access Analysis of Many-core System with Abundant Bandwidth
Proceedings - IEEE 9th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2015, November 2015, article number 7328204, p. 187-194
Tang, Chuan; Liu, Dan; Xing, Zuocheng; Yang, Peng; Wang, Zhe; Xu, Jiang





Article 6

A Case Study of Signal-to-Noise Ratio in Ring-Based Optical Networks-on-Chip
IEEE Design and Test, v. 31, (5), October 2014, article number 6849430, p. 55-65
Duong, Huu Kinh Luan; Nikdast, Mahdi; Le beux, Sebastien; Xu, Jiang; Wu, Xiaowen; Wang, Zhehui; Yang, Peng
Floorplan Optimization of Fat-Tree-Based Networks-on-Chip for Chip Multiprocessors
IEEE Transactions on Computers, v. 63, (6), June 2014, article number 6392822, p. 1446-1459
Wang, Zhehui; Xu, Jiang; Wu, Xiaowen; Ye, Yaoyao; Zhang, Wei; Nikdast, Mahdi; Wang, Xuan; Wang, Zhe
On-Chip Sensor Networks for Soft-Error Tolerant Real-Time Multiprocessor Systems-on-Chip
ACM Journal on Emerging Technologies in Computing Systems, v. 10, (2), February 2014, article number 15, p. 1-20
Liu, Weichen; Wang, Xuan; Xu, Jiang; Zhang, Wei; Ye, Yaoyao; Wu, Xiaowen; Nikdast, Mahdi; Wang, Zhehui
Systematic Analysis of Crosstalk Noise in Folded-Torus-Based Optical Networks-on-Chip
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 33, (3), March 2014, article number 6740052, p. 437-450
Nikdast, Mahdi; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Ye, Yaoyao; Wang, Xuan; Wang, Zhehui; Wang, Zhe
System-Level Modeling and Analysis of Thermal Effects in WDM-Based Optical Networks-on-Chip
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 33, (11), November 2014, article number 6930846, p. 1718-1731
Ye, Yaoyao; Wang, Zhehui; Yang, Peng; Xu, Jiang; Wu, Xiaowen; Wang, Xuan; Nikdast, Mahdi; Wang, Zhe; Duong, Huu Kinh Luan
UNION: A Unified Inter/Intrachip Optical Network for Chip Multiprocessors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (5), May 2014, article number 6544298, p. 1082-1095
Wu, Xiaowen; Ye, Yaoyao; Xu, Jiang; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan

Conference paper 6

A Case Study on the Communication and Computation Behaviors of Real Applications in NoC-based MPSoCs
Proceedings of IEEE Computer Society Annual Symposium on VLSI, September 2014, article number 6903410, p. 481-486
Wang, Zhe; Liu, Weichen; Xu, Jiang; Li, Bin; Iyer, Ravi; Illikkal, Ramesh; Wu, Xiaowen; Mow, Wai Ho; Ye, Wenjing
A Systematic Network-on-Chip Traffic Modeling and Generation Methodology
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS, v. 2015-February, (February), 5 February 2015, article number 7032871, p. 675-678
Wang, Zhe; Liu, Weichen; Xu, Jiang; Wu, Xiaowen; Wang, Zhehui; Li, Bin; Iyer, Ravi; Illikkal, Ramesh
Characterizing Power Delivery Systems with On/Off-chip Voltage Regulators for Many-core Processors
Proceedings -Design, Automation and Test in Europe, DATE, April 2014, article number 6800261
Wang, Xuan; Xu, Jiang; Wang, Zhe; Chen, Kevin Jing; Wu, Xiaowen; Wang, Zhehui
Holistic Modeling and Comparison of Inter-Chip Optical and Electrical Interconnects
51st Design Automation Conference, San Francisco, CA, USA, 1-5 June 2014
Wang, Zhehui; Xu, Jiang; Wu, Xiaowen; Wang, Xuan; Wang, Zhe; Nikdast, Mahdi; Yang, Peng
Introduction to the special session on “Silicon photonic interconnects: an illusion or a realistic solution?”
Proceedings - 2014 8th IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2014, January 2015, article number 7008776, p. 167
Xu, Jiang; Le Beux, Sebastien; Thonnart, Yvain
On the Impact of Crosstalk Noise in Optical Networks-on-Chip
51st Design Automation Conference (DAC), San Francisco, CA, USA, 1-5 June 2014
Nikdast, Mahdi; Xu, Jiang





Article 5

3-D Mesh-Based Optical Network-on-Chip for Multiprocessor System-on-Chip
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 32, (4), April 2013, article number 6480869, p. 584-596
Ye, Yaoyao; Xu, Jiang; Huang, Baihan; Wu, Xiaowen; Zhang, Wei; Wang, Xuan; Nikdast, Mahdi; Wang, Zhehui; Liu, Weichen
Five-Port Optical Router Based on Microring Switches for Photonic Networks-on-Chip
IEEE Photonics Technology Letters, v. 25, (5), 2013, article number 6423208, p. 492-495
Ji, Ruiqiang; Xu, Jiang; Yang, Lin
Formal Worst-Case Analysis of Crosstalk Noise in Mesh-Based Optical Networks-on-Chip
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 21, (10), October 2013, article number 6352932, p. 1823-1836
Xie, Yiyuan; Nikdast, Mahdi; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Ye, Yaoyao; Wang, Xuan; Wang, Zhehui; Liu, Weichen
On-Chip Sensor Network for Efficient Management of Power Gating-Induced Power/Ground Noise in Multiprocessor System on Chip
IEEE Transactions on Parallel and Distributed Systems, v. 24, (4), April 2013, article number 6226379, p. 767-777
Liu, Weichen; Wang, Yu; Wang, Xuan; Xu, Jiang; Yang, Huazhong
System-Level Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 21, (2), 2013, article number 6146413, p. 292-305
Ye, Yaoyao; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Wang, Xuan; Nikdast, Mahdi; Wang, Zhehui; Liu, Weichen

Conference paper 3

A Network-on-Chip Benchmark Suite Based on Real Applications
4th Workshop on SoCs, Heterogeneous Architectures and Workloads, Shenzhen, China, 24 February 2013
Liu, Weichen; Wang, Zhe; Wu, Xiaowen; Xu, Jiang; Li, Bin; Zhang, Wei; Ye, Yaoyao; Wang, Zhehui; Nikdast, Mahdi
Active Power-Gating-Induced Power/Ground Noise Alleviation Using Parasitic Capacitance of On-Chip Memories
Proceedings -Design, Automation and Test in Europe, v. 2013, May 2013, article number 6513699, p. 1221-1224
Wang, Xuan; Xu, Jiang; Zhang, Wei; Wu, Xiaowen; Ye, Yaoyao; Wang, Zhehui; Nikdast, Mahdi; Wang, Zhe
System-level Analysis of Mesh-based Hybrid Optical-Electronic Network-on-Chip
Proceedings - IEEE International Symposium on Circuits and Systems, August 2013, article number 6571846, p. 321-324
Ye, Yaoyao; Wu, Xiaowen; Xu, Jiang; Nikdast, Mahdi; Wang, Zhehui; Wang, Xuan; Wang, Zhe





Article 3

A Formal Study on Topology and Floorplan Characteristics of Mesh and Torus-based Optical Networks-on-Chip
Microprocessors and Microsystems: Embedded Hardware Design, v. 37, (8), November 2013, p. 941-952, Part B
Feng, Kai; Ye, Yaoyao; Xu, Jiang
A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip
ACM Journal on Emerging Technologies in Computing Systems, v. 8, (1), February 2012, article number 5, p. 1-26
Ye, Yaoyao; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi
Crosstalk noise analysis and optimization in 5 × 5 hitless silicon-based optical router for optical networks-on-chip (ONOC)
Journal of Lightwave Technology, v. 30, (1), January 2012, article number 6096346, p. 198-203
Xie, Yiyuan; Xu, Jiang; Zhang, Jianguo; Wu, Zhengmao; Xia, Guanqiong

Book chapter 1

FONoC: a Fat Tree Based Optical Network-on-Chip for Multiprocessor System-on-Chip
Integrated Optical Interconnect Architectures for Embedded Systems / Editor: Ian O'Connor, Gabriela Nicolescu. New York, NY : Springer, 2012, p. 137-152
Xu, Jiang; Gu, Huaxi; Zhang, Wei; Liu, Weichen

Conference paper 3

A Novel Low-Waveguide-Crossing Floorplan for Fat Tree Based Optical Networks-on-Chip
2012 Optical Interconnects Conference, OIC 2012 / IEEE. New York, NY, USA : IEEE, 2012, p. 100-101, Article no.: 6224427
Wang, Zhehui; Xu, Jiang; Wu, Xiaowen; Ye, Yaoyao; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan; Wang, Zhe
Holistic Comparison of Optical Routers for Chip Multiprocessors
Proceedings of the International Conference on Anti-Counterfeiting, Security and Identification, ASID, article number 6325348
Ye, Yaoyao; Wu, Xiaowen; Xu, Jiang; Zhang, Wei; Nikdast, Mahdi; Wang, Xuan
Thermal analysis for 3D optical network-on-chip based on a novel low-cost 6x6 optical router
2012 Optical Interconnects Conference, Santa Fe, USA, 20-23 May 2012
Ye, Yaoyao; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan; Wang, Zhehui; Wang, Zhe





Article 5

Coroutine-based synthesis of efficient embedded software from SystemC models
IEEE embedded systems letters, v. 3, (1), March 2011, p. 46-49
Liu, Weichen; Xu, Jiang; Muppala, Jogesh; Zhang, Wei; Wu, Xiaowen; Ye, Yaoyao
Elimination of cross-talk in silicon-on-insulator waveguide crossings with optimized angle
Optical Engineering, v. 50, (6), June 2011, article number 064601
Xie, Yiyuan; Xu, Jiang; Zhang, Jianguo
Novel RD-Optimized VBSME with Matching Highly Data Re-Usable Hardware Architecture
IEEE Transactions on Circuits and Systems for Video Technology, v. 21, (2), February 2011, article number 5688307, p. 206-219
Wen, Xing; Au, Oscar Chi Lim; Xu, Jiang; Fang, Lu; Cha, Run; Li, Jiali
Power Gating Aware Task Scheduling in MPSoC
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 19, (10), October 2011, p. 1801-1812
Wang, Yu; Xu, Jiang; Xu, Yan; Liu, Weichen; Yang, Huazhong
Satisfiability Modulo Graph Theory for Task Mapping and Scheduling on Multiprocessor Systems
IEEE Transactions on Parallel and Distributed Systems, v. 22, (8), August 2011, p. 1382-1389
Liu, Weichen; Gu, Zonghua; Xu, Jiang; Wu, Xiaowen; Ye, Yaoyao

Conference paper 3

A NoC traffic suite based on real applications
Proceedings - 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, August 2011, article number 5992461, p. 66-71
Liu, Weichen; Xu, Jiang; Wu, Xiaowen; Ye, Yaoyao; Wang, Xuan; Zhang, Wei; Nikdast, Mahdi; Wang, Zhehui
Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip
Proceedings - 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, August 2011, article number 5992489, p. 254-259
Ye, Yaoyao; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Wang, Xuan; Nikdast, Mahdi; Wang, Zhehui; Liu, Weichen
Sub-pixel downsampling of video with matching highly data re-use hardware architecture
2011 IEEE International Symposium on Circuits and Systems (ISCAS), July 2011, article number 5937858, p. 1495-1499
Wen, Xing; Au, Oscar Chi Lim; Xu, Jiang; Fang, Lu; Cha, Run





Article 3

A new distributed congestion control mechanism for networks on chip
Telecommunication Systems, v. 44, (3-4), August 2010, p. 321-331
Gu, Huaxi; Xu, Jiang; Wang, Kun; Mo, Kwai Hung Morton
Design of butterfly-fat-tree optical network on-chip
Optical Engineering, v. 49, (9), September 2010, article number 095402
Gu, Huaxi; Wang, Shiqing; Yang, Yintang; Xu, Jiang
Simultaneous OTDM demultiplexing and data format conversion using A D flip-flop
Microwave and optical technology letters, v. 52, (2), February 2010, p. 398-400
Xie, Yiyuan; Zhang, Jianguo; Xu, Jiang

Book chapter 1

Integrated Microsystems: MEMs, Bio and Photonics Interfaces
Integrated Microsystems: MEMs, Bio and Photonics Interfaces / CRC Press, 2010
Liu, Weichen; Xu, Jiang; Muppala, K. R. Jogesh

Conference paper 8

A hardware-software collaborated method for soft-error tolerant MPSoC
Proceedings of 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, 2011, article number 5992490, p. 260-265
Liu, Weichen; Xu, Jiang; Wang, Xuan; Wang, Yu; Zhang, Wei; Ye, Yaoyao; Wu, Xiaowen; Nikdast, Mahdi; Wang, Zhehui
A Hierarchical Hybrid Optical-Electronic Network-on-Chip
Proceedings - IEEE Annual Symposium on VLSI, ISVLSI 2010, 2010, p. 327-332
Mo, Kun Wang; Ye, Yaoyao; Wu, Xiaowen; Zhang, Wei; Liu, Weichen; Xu, Jiang
A Highly Data Reusable and Standard-Compliant Motion Estimation Hardware Architecture
2010 IEEE International Conference on Multimedia and Expo, September 2010, article number 5583300, p. 220-225
Wen, Xing; Au, Oscar Chi Lim; Xu, Jiang; Fang, Lu; Cha, Run; Li, Jiali
A low power and standard-compliant RDO motion estimation hardware architecture for VBSME
1st International Conference on Green Circuits and Systems, ICGCS 2010, August 2010, article number 5543104, p. 24-29
Wen, Xing; Au, Oscar Chi Lim; Xu, Jiang; Fang, Lu; Cha, Run; Li, Jiali
Crosstalk noise and bit error rate analysis for optical network-on-chip
Proceedings - Design Automation Conference, June 2010, p. 657-660
Xie, Yiyuan; Nikdast, Mahdi; Xu,Jiang; Zhang, Wei; Li, Qi; Wu, Xiaowen; Ye, Yaoyao; Wang, Xuan; Liu, Weichen
Performance evaluation of on-chip sensor network (SENoC) in MPSoC
1st International Conference on Green Circuits and Systems, ICGCS 2010, August 2010, article number 5543046, p. 323-327
Wang, Yao; Wang, Yu; Xu, Jiang; Yang, Huazhong
Simulation and Analysis of P/G Noise in TSV based 3D MPSoC
International Conference on Green Circuits and Systems
Tao, Shuai; Wang, Yu; Xu, Jiang; Ma, Yuchun; Xie, Yuan; Yang, Huazhong
UNION: A Unified Inter/Intra-Chip Optical Network for chip multiprocessors
Proceedings of the 6th IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH, Anaheim, California, 17-18 Jun 2010, p. 35-40
Wu, Xiaowen; Ye, Yaoyao; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan; Xu, Jiang





Article 3

Double-Data-Rate, Wave-Pipelined Interconnect for Asynchronous NoCs
IEEE Micro, v. 29, (3), May-June 2009, p. 20-30
Xu, Jiang; Wolf, Wayne; Zhang, Wei
Efficient algorithms for 2D area management and online task placement on runtime reconfigurable FPGAs
Microprocessors and Microsystems, v. 33, (5-6), August 2009, p. 374-387
Gu, Zonghua; Liu, Weichen; Xu, Jiang; Cui, Jin; He, Xiuqiang; Deng, Qingxu
Efficient Software Synthesis for Dynamic Single Appearance Scheduling of Synchronous Dataflow
IEEE Embedded Systems Letters, v. 1, (3), October 2009, p. 69-72
Liu, Weichen; Gu, Zonghua; Xu, Jiang

Conference paper 8

3D Optical Networks-on-chip (NoC) for Multiprocessor Systems-on-chip (MPSoC)
2009 IEEE International Conference on 3D System Integration, September 2009, article number 5306588
Ye, Yaoyao; Duan, Lian; Xu, Jiang; Ouyang, Jin; Hung, Mo Kwai; Xie, Yuan
A case study of on-chip sensor network in multiprocessor system-on-chip
Proceedings of the 2009 international conference on Compilers, architecture, and synthesis for embedded systems, October 2009, p. 241-250
Wang, Yu; Xu, Jiang; Huang, Shengxi; Liu, Weichen; Yang, Huazhong
A Low-Power Fat Tree-based Optical Network-on-Chip for Multiprocessor System-on-Chip
Proceedings -Design, Automation and Test in Europe, DATE, June 2009, article number 5090624, p. 3-8
Gu, Huaxi; Xu, Jiang; Zhang, Wei
A Low-power Low-cost Optical Router for Optical Networks-on-Chip in Multiprocessor Systems-on-Chip
Proceedings of the 2009 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2009, June 2009, article number 5076377, p. 19-24
Gu, Huaxi; Mo, Kwai Hung Morton; Xu, Jiang; Zhang, Wei
A novel multiple description video coding based on H.264/AVC video coding standard
Proceedings - IEEE International Symposium on Circuits and Systems, June 2009, article number 5117986, p. 1237-1240
Wen, Xing; Au, Oscar Chi Lim; Xu, Jiang; Liang, Zhiqin; Yang, Yi; Tang, Weiran
An efficient technique for analysis of minimal buffer requirements of synchronous dataflow graphs with model checking
Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis, October 2009, p. 61-70
Liu, Weichen; Gu, Zonghua; Xu, Jiang; Wang, Yu; Yuan, Mingxuan
Design of 3D Optical Network on Chip
2009 Symposium on Photonics and Optoelectronics, SOPO 2009, September 2009, article number 5230071, p. 771-774
Gu, Huaxi; Xu, Jiang
On-line MPSoC scheduling considering power gating induced power/ground noise
Proceedings of the 2009 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2009, June 2009, article number 5076392, p. 109-114
Xu, Yan; Liu, Weichen; Wang, Yu; Xu, Jiang; Chen, Xiaoming; Yang, Huazhong





Article 1

Reducing Wavelength Conversion Range in Space/Wavelength Switches
IEEE Photonics Technology Letters, v. 20, (17), September 2008, p. 1458-1460
Lin, Bey-Chi; Lea, Chin-Tau; Tsang, Danny; Xu, Jiang

Conference paper 4

A novel optical mesh network-on-chip for gigascale systems-on-chip
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS, January 2009, article number 4746373, p. 728-731
Gu, Huaxi; Xu, Jiang; Wang, Zheng
Design ASNoC for Low-Power SoCs
2008 International SoC Design Conference, ISOCC 2008, v. 1, April 2009, article number 4815587, p. 117-120
Xu, Jiang; Zhang, Wei; Mo, Kwai Hung Morton; Shao, Zili
Design of sparse mesh for optical network on chip
Proceedings of SPIE - The International Society for Optical Engineering, v. 7136, November 2008, article number 71362F
Gu, Huaxi; Xu, Jiang; Wang, Zheng
ODOR: A microresonator-based high-performance low-cost router for optical networks-on-chip
Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis, October 2008, p. 203-208
Gu, Huaxi; Xu, Jiang; Wang, Zhehui





Article 1

A Design Methodology for Application-Specific Networks-on-Chip
ACM Transactions on Embedded Computing Systems, v. 5, (2), May 2006, p. 263-280
Xu, Jiang; Wolf, Wayne; Henkel, Joerg; Chakradhar, Srimat





Conference paper 2

A Methodology for Design, Modeling, and Analysis of Networks-on-Chip
IEEE International Symposium on Circuits and Systems (ISCAS), Kobe, Japan, May 2005
Xu, Jiang; Wolf, Wayne; Henkel, Joerg; Chakradhar, Srimat
H.264 HDTV Decoder Using Application-Specific Networks-on-Chip
IEEE International Conference on Multimedia and Expo, ICME 2005, v. 2005, October 2005, article number 1521719, p. 1508-1511
Xu, Jiang; Wolf, Wayne; Henkel, Joerg; Chakradhar, Srimat





Article 1

A Methodology for Architectural Design of Multimedia Multiprocessor SoCs
IEEE Design & Test of Computers, v. 22, (1), January 2005, p. 18-26
Lv, Tiehan; Xu, Jiang; Ozer, I. Burak; Wolf, Wayne; Henkel, J?rg; Chakradhar, Srimat

Book chapter 1

Multiprocessor System-on-Chip Architectures for Video
Multiprocessor Systems-on-Chips / 2004, Morgan Kaufmann
Dutta, Santanu; Rennert, Jens; Lv, Tiehan; Xu, Jiang; Yang, Shengqi; Wolf, Wayne

Conference paper 1

A Case Study in Networks-on-Chip Design for Embedded Video
Proceedings - Design, Automation and Test in Europe Conference and Exhibition, v. 2, March 2004, p. 770-775
Xu, Jiang; Wolf, Wayne; Henkel, Joerg; Chakradhar, Srimat; Lv, Tiehan





Article 1

Augmenting Platform-based Design with Synthesis Tools
Journal of Circuits, Systems, and Computers, v. 12, (2), April 2003, p. 125-142
Xie, Yuan; Xu, Jiang; Wolf, Wayne

Conference paper 1

A Wave-Pipelined On-chip Interconnect Structure for Networks-on-Chip
Proceedings - 11th Symposium on High Performance Interconnects, HOTI 2003, September 2003, article number 1231471, p. 10-14
Xu, Jiang; Wolf, Wayne





Conference paper 2

Platform-Based Design and the First Generation Dilemma
Electronic Design Process Workshop (EDP), Monterey, USA, April 2002
Xu, Jiang; Wolf, Wayne
Wave Pipelining for Application-Specific Networks-on-Chip
International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES), Grenoble, France, October 2002
Xu, Jiang; Wolf, Wayne





2016 9

A Holistic Modeling and Analysis of Optical-Electrical Interfaces for Inter/Intra-chip Interconnects
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (7), July 2016, article number 7389433, p. 2462-2474
Wang, Zhehui; Xu, Jiang; Yang, Peng; Duong, Luan Huu Kinh; Wang, Zhifei; Wang, Xuan; Wang, Zhe; Li, Haoran; Vivas maeda, Rafael Kioji Article
An Adaptive Process-Variation-Aware Technique for Power-Gating-Induced Power/Ground Noise Mitigation in MPSoC
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (12), December 2016, article number 7463494, p. 3373-3386
Wang, Zhe; Wang, Xuan; Xu, Jiang; Li, Haoran; Vivas Maeda, Rafael Kioji; Wang, Zhehui; Yang, Peng; Duong, Luan Huu Kinh; Wang, Zhifei Article
Coherent and Incoherent Crosstalk Noise Analyses in Interchip/Intrachip Optical Interconnection Networks
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (7), July 2016, p. 2475-2487
Duong, Huu Kinh Luan; Wang, Zhehui; Nikdast, Mahdi; Xu, Jiang; Yang, Peng; Wang, Zhifei; Wang, Zhe; Vivas Maeda, Rafael Kioji; Li, Haoran; Wang, Xuan; Le-beux, Sébastien; Thonnart, Yvain Article
Distributed Sensor Network-on-Chip for Performance Optimization of Soft-Error-Tolerant Multiprocessor System-on-Chip
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (4), April 2016, article number 7202910, p. 1546-1559
Liu, Weichen; Zhang, Wei; Wang, Xuan; Xu, Jiang Article
Improve Chip Pin Performance Using Optical Interconnects
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (4), April 2016, p. 1574-1587
Wang, Zhehui; Xu, Jiang; Yang, Peng; Wang, Xuan; Wang, Zhe; Duong, Huu Kinh Luan; Wang, Zhifei; Vivas Maeda, Rafael Kioji; Li, Haoran Article
Low-Loss High-Radix Integrated Optical Switch Networks for Software-Defined Servers
Journal of Lightwave Technology, v. 34, (18), September 2016, p. 4364-4375
Wang, Zhifei; Wang, Zhehui; Xu, Jiang; Yang, Peng; Duong, Luan Huu Kinh; Wang, Zhe; Li, Haoran; Vivas Maeda, Rafael Kioji Article
Inter/intra-chip Optical Interconnection Network: Opportunities, Challenges, and Implementations
2016 10th IEEE/ACM International Symposium on Networks-on-Chip (NOCS 2016), October 2016, article number 7579343, p. 171-178
Yang, Peng; Nakamura, Shigeru; Yashiki, Kenichiro; Wang, Zhehui; Duong, Huu Kinh Luan; Wang, Zhifei; Chen, Xuanqi; Nakamura, Yuichi; Xu, Jiang Conference paper
JADE: a Heterogeneous Multiprocessor System Simulation Platform Using Recorded and Statistical Application Models
Proceedings of the 1st International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems, January 2016, article number 8, p. 1-6
Vivas maeda, Rafael Kioji; Yang, Peng; Wu, Xiaowen; Wang, Zhe; Xu, Jiang; Wang, Zhehui; Li, Haoran; Duong, Huu Kinh Luan; Wang, Zhifei Conference paper
Panel discussions “computing and communication evolution for IoT innovations”
2016 IEEE Symposium in Low-Power and High-Speed Chips (COOL CHIPS XIX), Yokohama, Japan, 20-22 April 2016
Nishi, Hiroaki; Xu, Jiang; Benini, Luca; McCool, Michael; Sakamoto, Toshitsugu; Fujimoto, Shingo Conference paper

2015 10

Actively Alleviate Power-Gating-Induced Power/Ground Noise Using Parasitic Capacitance of On-Chip Memories in MPSoC
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (2), February 2015, article number 6767132, p. 266-279
Wang, Xuan; Xu, Jiang; Zhang, Wei; Wu, Xiaowen; Ye, Yaoyao; Wang, Zhehui; Nikdast, Mahdi; Wang, Zhe Article
An Analytical Study of Power Delivery Systems for Many-Core Processors Using On-Chip and Off-Chip Voltage Regulators
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 34, (9), September 2015, article number 7061385, p. 1401-1414
Wang, Xuan; Xu, Jiang; Wang, Zhe; Chen, Kevin J.; Wu, Xiaowen; Wang, Zhehui; Yang, Peng; Duong, Luan Huu Kinh Article
An Inter/Intra-Chip Optical Network for Manycore Processors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (4), April 2015, article number 6815717, p. 678-691
Wu, Xiaowen; Xu, Jiang; Ye, Yaoyao; Wang, Xuan; Nikdast, Mahdi; Wang, Zhehui; Wang, Zhe Article
Crosstalk Noise in WDM-Based Optical Networks-on-Chip: A Formal Study and Comparison
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (11), November 2015, article number 6977983, p. 2552-2565
Nikdast, Mahdi; Xu, Jiang; Duong, Huu Kinh Luan; Wu, Xiaowen; Wang, Xuan; Wang, Zhehui; Wang, Zhe; Yang, Peng; Ye, Yaoyao; Hao, Qinfen Article
Fat-Tree-Based Optical Interconnection Networks Under Crosstalk Noise Constraint
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (1), January 2015, article number 6744606, p. 156-169
Nikdast, Mahdi; Xu, Jiang; Duong, Luan Huu Kinh; Wu, Xiaowen; Wang, Zhehui; Wang, Xuan; Wang, Zhe Article
Adaptively Tolerate Power-Gating-Induced Power/Ground Noise under Process Variations
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 483-488
Wang, Zhe; Wang, Xuan; Xu, Jiang; Wu, Xiaowen; Wang, Zhehui; Yang, Peng; Duong, Huu Kinh Luan; Li, Haoran; Vivas maeda, Rafael Kioji; Wang, Zhifei Conference paper
Alleviate Chip I/O Pin Constraints for Multicore Processors through Optical Interconnects
20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015, March 2015, article number 7059107, p. 791-796
Wang, Zhehui; Xu, Jiang; Yang, Peng; Wang, Xuan; Wang, Zhe; Duong, Huu Kinh Luan; Wang, Zhifei; Li, Haoran; Vivas maeda, Rafael Kioji; Wu, Xiaowen; Ye, Yaoyao; Hao, Qinfen Conference paper
CLAP: A Crosstalk and Loss Analysis Platform for Optical Interconnects
Proceedings - 2014 8th IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2014, January 2015, article number 7008779, p. 172-173
Nikdast, Mahdi; Duong, Huu Kinh Luan; Xu, Jiang; Le beux, Sebastien; Wu, Xiaowen; Wang, Zhehui; Yang, Peng; Ye, Yaoyao Conference paper
Coherent Crosstalk Noise Analyses in Ring-based Optical Interconnects
Proceedings -Design, Automation and Test in Europe, DATE, v. 2015-April, April 2015, article number 7092440, p. 501-506
Duong, Huu Kinh Luan; Nikdast, Mahdi; Xu, Jiang; Wang, Zhehui; Thonnart, Yvain; Le beux, Sébastien; Yang, Peng; Wu, Xiaowen; Wang, Zhifei Conference paper
Memory Access Analysis of Many-core System with Abundant Bandwidth
Proceedings - IEEE 9th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2015, November 2015, article number 7328204, p. 187-194
Tang, Chuan; Liu, Dan; Xing, Zuocheng; Yang, Peng; Wang, Zhe; Xu, Jiang Conference paper

2014 12

A Case Study of Signal-to-Noise Ratio in Ring-Based Optical Networks-on-Chip
IEEE Design and Test, v. 31, (5), October 2014, article number 6849430, p. 55-65
Duong, Huu Kinh Luan; Nikdast, Mahdi; Le beux, Sebastien; Xu, Jiang; Wu, Xiaowen; Wang, Zhehui; Yang, Peng Article
Floorplan Optimization of Fat-Tree-Based Networks-on-Chip for Chip Multiprocessors
IEEE Transactions on Computers, v. 63, (6), June 2014, article number 6392822, p. 1446-1459
Wang, Zhehui; Xu, Jiang; Wu, Xiaowen; Ye, Yaoyao; Zhang, Wei; Nikdast, Mahdi; Wang, Xuan; Wang, Zhe Article
On-Chip Sensor Networks for Soft-Error Tolerant Real-Time Multiprocessor Systems-on-Chip
ACM Journal on Emerging Technologies in Computing Systems, v. 10, (2), February 2014, article number 15, p. 1-20
Liu, Weichen; Wang, Xuan; Xu, Jiang; Zhang, Wei; Ye, Yaoyao; Wu, Xiaowen; Nikdast, Mahdi; Wang, Zhehui Article
Systematic Analysis of Crosstalk Noise in Folded-Torus-Based Optical Networks-on-Chip
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 33, (3), March 2014, article number 6740052, p. 437-450
Nikdast, Mahdi; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Ye, Yaoyao; Wang, Xuan; Wang, Zhehui; Wang, Zhe Article
System-Level Modeling and Analysis of Thermal Effects in WDM-Based Optical Networks-on-Chip
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 33, (11), November 2014, article number 6930846, p. 1718-1731
Ye, Yaoyao; Wang, Zhehui; Yang, Peng; Xu, Jiang; Wu, Xiaowen; Wang, Xuan; Nikdast, Mahdi; Wang, Zhe; Duong, Huu Kinh Luan Article
UNION: A Unified Inter/Intrachip Optical Network for Chip Multiprocessors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (5), May 2014, article number 6544298, p. 1082-1095
Wu, Xiaowen; Ye, Yaoyao; Xu, Jiang; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan Article
A Case Study on the Communication and Computation Behaviors of Real Applications in NoC-based MPSoCs
Proceedings of IEEE Computer Society Annual Symposium on VLSI, September 2014, article number 6903410, p. 481-486
Wang, Zhe; Liu, Weichen; Xu, Jiang; Li, Bin; Iyer, Ravi; Illikkal, Ramesh; Wu, Xiaowen; Mow, Wai Ho; Ye, Wenjing Conference paper
A Systematic Network-on-Chip Traffic Modeling and Generation Methodology
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS, v. 2015-February, (February), 5 February 2015, article number 7032871, p. 675-678
Wang, Zhe; Liu, Weichen; Xu, Jiang; Wu, Xiaowen; Wang, Zhehui; Li, Bin; Iyer, Ravi; Illikkal, Ramesh Conference paper
Characterizing Power Delivery Systems with On/Off-chip Voltage Regulators for Many-core Processors
Proceedings -Design, Automation and Test in Europe, DATE, April 2014, article number 6800261
Wang, Xuan; Xu, Jiang; Wang, Zhe; Chen, Kevin Jing; Wu, Xiaowen; Wang, Zhehui Conference paper
Holistic Modeling and Comparison of Inter-Chip Optical and Electrical Interconnects
51st Design Automation Conference, San Francisco, CA, USA, 1-5 June 2014
Wang, Zhehui; Xu, Jiang; Wu, Xiaowen; Wang, Xuan; Wang, Zhe; Nikdast, Mahdi; Yang, Peng Conference paper
Introduction to the special session on “Silicon photonic interconnects: an illusion or a realistic solution?”
Proceedings - 2014 8th IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2014, January 2015, article number 7008776, p. 167
Xu, Jiang; Le Beux, Sebastien; Thonnart, Yvain Conference paper
On the Impact of Crosstalk Noise in Optical Networks-on-Chip
51st Design Automation Conference (DAC), San Francisco, CA, USA, 1-5 June 2014
Nikdast, Mahdi; Xu, Jiang Conference paper

2013 8

3-D Mesh-Based Optical Network-on-Chip for Multiprocessor System-on-Chip
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 32, (4), April 2013, article number 6480869, p. 584-596
Ye, Yaoyao; Xu, Jiang; Huang, Baihan; Wu, Xiaowen; Zhang, Wei; Wang, Xuan; Nikdast, Mahdi; Wang, Zhehui; Liu, Weichen Article
Five-Port Optical Router Based on Microring Switches for Photonic Networks-on-Chip
IEEE Photonics Technology Letters, v. 25, (5), 2013, article number 6423208, p. 492-495
Ji, Ruiqiang; Xu, Jiang; Yang, Lin Article
Formal Worst-Case Analysis of Crosstalk Noise in Mesh-Based Optical Networks-on-Chip
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 21, (10), October 2013, article number 6352932, p. 1823-1836
Xie, Yiyuan; Nikdast, Mahdi; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Ye, Yaoyao; Wang, Xuan; Wang, Zhehui; Liu, Weichen Article
On-Chip Sensor Network for Efficient Management of Power Gating-Induced Power/Ground Noise in Multiprocessor System on Chip
IEEE Transactions on Parallel and Distributed Systems, v. 24, (4), April 2013, article number 6226379, p. 767-777
Liu, Weichen; Wang, Yu; Wang, Xuan; Xu, Jiang; Yang, Huazhong Article
System-Level Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 21, (2), 2013, article number 6146413, p. 292-305
Ye, Yaoyao; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Wang, Xuan; Nikdast, Mahdi; Wang, Zhehui; Liu, Weichen Article
A Network-on-Chip Benchmark Suite Based on Real Applications
4th Workshop on SoCs, Heterogeneous Architectures and Workloads, Shenzhen, China, 24 February 2013
Liu, Weichen; Wang, Zhe; Wu, Xiaowen; Xu, Jiang; Li, Bin; Zhang, Wei; Ye, Yaoyao; Wang, Zhehui; Nikdast, Mahdi Conference paper
Active Power-Gating-Induced Power/Ground Noise Alleviation Using Parasitic Capacitance of On-Chip Memories
Proceedings -Design, Automation and Test in Europe, v. 2013, May 2013, article number 6513699, p. 1221-1224
Wang, Xuan; Xu, Jiang; Zhang, Wei; Wu, Xiaowen; Ye, Yaoyao; Wang, Zhehui; Nikdast, Mahdi; Wang, Zhe Conference paper
System-level Analysis of Mesh-based Hybrid Optical-Electronic Network-on-Chip
Proceedings - IEEE International Symposium on Circuits and Systems, August 2013, article number 6571846, p. 321-324
Ye, Yaoyao; Wu, Xiaowen; Xu, Jiang; Nikdast, Mahdi; Wang, Zhehui; Wang, Xuan; Wang, Zhe Conference paper

2012 7

A Formal Study on Topology and Floorplan Characteristics of Mesh and Torus-based Optical Networks-on-Chip
Microprocessors and Microsystems: Embedded Hardware Design, v. 37, (8), November 2013, p. 941-952, Part B
Feng, Kai; Ye, Yaoyao; Xu, Jiang Article
A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip
ACM Journal on Emerging Technologies in Computing Systems, v. 8, (1), February 2012, article number 5, p. 1-26
Ye, Yaoyao; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi Article
Crosstalk noise analysis and optimization in 5 × 5 hitless silicon-based optical router for optical networks-on-chip (ONOC)
Journal of Lightwave Technology, v. 30, (1), January 2012, article number 6096346, p. 198-203
Xie, Yiyuan; Xu, Jiang; Zhang, Jianguo; Wu, Zhengmao; Xia, Guanqiong Article
FONoC: a Fat Tree Based Optical Network-on-Chip for Multiprocessor System-on-Chip
Integrated Optical Interconnect Architectures for Embedded Systems / Editor: Ian O'Connor, Gabriela Nicolescu. New York, NY : Springer, 2012, p. 137-152
Xu, Jiang; Gu, Huaxi; Zhang, Wei; Liu, Weichen Book chapter
A Novel Low-Waveguide-Crossing Floorplan for Fat Tree Based Optical Networks-on-Chip
2012 Optical Interconnects Conference, OIC 2012 / IEEE. New York, NY, USA : IEEE, 2012, p. 100-101, Article no.: 6224427
Wang, Zhehui; Xu, Jiang; Wu, Xiaowen; Ye, Yaoyao; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan; Wang, Zhe Conference paper
Holistic Comparison of Optical Routers for Chip Multiprocessors
Proceedings of the International Conference on Anti-Counterfeiting, Security and Identification, ASID, article number 6325348
Ye, Yaoyao; Wu, Xiaowen; Xu, Jiang; Zhang, Wei; Nikdast, Mahdi; Wang, Xuan Conference paper
Thermal analysis for 3D optical network-on-chip based on a novel low-cost 6x6 optical router
2012 Optical Interconnects Conference, Santa Fe, USA, 20-23 May 2012
Ye, Yaoyao; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan; Wang, Zhehui; Wang, Zhe Conference paper

2011 8

Coroutine-based synthesis of efficient embedded software from SystemC models
IEEE embedded systems letters, v. 3, (1), March 2011, p. 46-49
Liu, Weichen; Xu, Jiang; Muppala, Jogesh; Zhang, Wei; Wu, Xiaowen; Ye, Yaoyao Article
Elimination of cross-talk in silicon-on-insulator waveguide crossings with optimized angle
Optical Engineering, v. 50, (6), June 2011, article number 064601
Xie, Yiyuan; Xu, Jiang; Zhang, Jianguo Article
Novel RD-Optimized VBSME with Matching Highly Data Re-Usable Hardware Architecture
IEEE Transactions on Circuits and Systems for Video Technology, v. 21, (2), February 2011, article number 5688307, p. 206-219
Wen, Xing; Au, Oscar Chi Lim; Xu, Jiang; Fang, Lu; Cha, Run; Li, Jiali Article
Power Gating Aware Task Scheduling in MPSoC
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 19, (10), October 2011, p. 1801-1812
Wang, Yu; Xu, Jiang; Xu, Yan; Liu, Weichen; Yang, Huazhong Article
Satisfiability Modulo Graph Theory for Task Mapping and Scheduling on Multiprocessor Systems
IEEE Transactions on Parallel and Distributed Systems, v. 22, (8), August 2011, p. 1382-1389
Liu, Weichen; Gu, Zonghua; Xu, Jiang; Wu, Xiaowen; Ye, Yaoyao Article
A NoC traffic suite based on real applications
Proceedings - 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, August 2011, article number 5992461, p. 66-71
Liu, Weichen; Xu, Jiang; Wu, Xiaowen; Ye, Yaoyao; Wang, Xuan; Zhang, Wei; Nikdast, Mahdi; Wang, Zhehui Conference paper
Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip
Proceedings - 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, August 2011, article number 5992489, p. 254-259
Ye, Yaoyao; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Wang, Xuan; Nikdast, Mahdi; Wang, Zhehui; Liu, Weichen Conference paper
Sub-pixel downsampling of video with matching highly data re-use hardware architecture
2011 IEEE International Symposium on Circuits and Systems (ISCAS), July 2011, article number 5937858, p. 1495-1499
Wen, Xing; Au, Oscar Chi Lim; Xu, Jiang; Fang, Lu; Cha, Run Conference paper

2010 12

A new distributed congestion control mechanism for networks on chip
Telecommunication Systems, v. 44, (3-4), August 2010, p. 321-331
Gu, Huaxi; Xu, Jiang; Wang, Kun; Mo, Kwai Hung Morton Article
Design of butterfly-fat-tree optical network on-chip
Optical Engineering, v. 49, (9), September 2010, article number 095402
Gu, Huaxi; Wang, Shiqing; Yang, Yintang; Xu, Jiang Article
Simultaneous OTDM demultiplexing and data format conversion using A D flip-flop
Microwave and optical technology letters, v. 52, (2), February 2010, p. 398-400
Xie, Yiyuan; Zhang, Jianguo; Xu, Jiang Article
Integrated Microsystems: MEMs, Bio and Photonics Interfaces
Integrated Microsystems: MEMs, Bio and Photonics Interfaces / CRC Press, 2010
Liu, Weichen; Xu, Jiang; Muppala, K. R. Jogesh Book chapter
A hardware-software collaborated method for soft-error tolerant MPSoC
Proceedings of 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, 2011, article number 5992490, p. 260-265
Liu, Weichen; Xu, Jiang; Wang, Xuan; Wang, Yu; Zhang, Wei; Ye, Yaoyao; Wu, Xiaowen; Nikdast, Mahdi; Wang, Zhehui Conference paper
A Hierarchical Hybrid Optical-Electronic Network-on-Chip
Proceedings - IEEE Annual Symposium on VLSI, ISVLSI 2010, 2010, p. 327-332
Mo, Kun Wang; Ye, Yaoyao; Wu, Xiaowen; Zhang, Wei; Liu, Weichen; Xu, Jiang Conference paper
A Highly Data Reusable and Standard-Compliant Motion Estimation Hardware Architecture
2010 IEEE International Conference on Multimedia and Expo, September 2010, article number 5583300, p. 220-225
Wen, Xing; Au, Oscar Chi Lim; Xu, Jiang; Fang, Lu; Cha, Run; Li, Jiali Conference paper
A low power and standard-compliant RDO motion estimation hardware architecture for VBSME
1st International Conference on Green Circuits and Systems, ICGCS 2010, August 2010, article number 5543104, p. 24-29
Wen, Xing; Au, Oscar Chi Lim; Xu, Jiang; Fang, Lu; Cha, Run; Li, Jiali Conference paper
Crosstalk noise and bit error rate analysis for optical network-on-chip
Proceedings - Design Automation Conference, June 2010, p. 657-660
Xie, Yiyuan; Nikdast, Mahdi; Xu,Jiang; Zhang, Wei; Li, Qi; Wu, Xiaowen; Ye, Yaoyao; Wang, Xuan; Liu, Weichen Conference paper
Performance evaluation of on-chip sensor network (SENoC) in MPSoC
1st International Conference on Green Circuits and Systems, ICGCS 2010, August 2010, article number 5543046, p. 323-327
Wang, Yao; Wang, Yu; Xu, Jiang; Yang, Huazhong Conference paper
Simulation and Analysis of P/G Noise in TSV based 3D MPSoC
International Conference on Green Circuits and Systems
Tao, Shuai; Wang, Yu; Xu, Jiang; Ma, Yuchun; Xie, Yuan; Yang, Huazhong Conference paper
UNION: A Unified Inter/Intra-Chip Optical Network for chip multiprocessors
Proceedings of the 6th IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH, Anaheim, California, 17-18 Jun 2010, p. 35-40
Wu, Xiaowen; Ye, Yaoyao; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan; Xu, Jiang Conference paper

2009 11

Double-Data-Rate, Wave-Pipelined Interconnect for Asynchronous NoCs
IEEE Micro, v. 29, (3), May-June 2009, p. 20-30
Xu, Jiang; Wolf, Wayne; Zhang, Wei Article
Efficient algorithms for 2D area management and online task placement on runtime reconfigurable FPGAs
Microprocessors and Microsystems, v. 33, (5-6), August 2009, p. 374-387
Gu, Zonghua; Liu, Weichen; Xu, Jiang; Cui, Jin; He, Xiuqiang; Deng, Qingxu Article
Efficient Software Synthesis for Dynamic Single Appearance Scheduling of Synchronous Dataflow
IEEE Embedded Systems Letters, v. 1, (3), October 2009, p. 69-72
Liu, Weichen; Gu, Zonghua; Xu, Jiang Article
3D Optical Networks-on-chip (NoC) for Multiprocessor Systems-on-chip (MPSoC)
2009 IEEE International Conference on 3D System Integration, September 2009, article number 5306588
Ye, Yaoyao; Duan, Lian; Xu, Jiang; Ouyang, Jin; Hung, Mo Kwai; Xie, Yuan Conference paper
A case study of on-chip sensor network in multiprocessor system-on-chip
Proceedings of the 2009 international conference on Compilers, architecture, and synthesis for embedded systems, October 2009, p. 241-250
Wang, Yu; Xu, Jiang; Huang, Shengxi; Liu, Weichen; Yang, Huazhong Conference paper
A Low-Power Fat Tree-based Optical Network-on-Chip for Multiprocessor System-on-Chip
Proceedings -Design, Automation and Test in Europe, DATE, June 2009, article number 5090624, p. 3-8
Gu, Huaxi; Xu, Jiang; Zhang, Wei Conference paper
A Low-power Low-cost Optical Router for Optical Networks-on-Chip in Multiprocessor Systems-on-Chip
Proceedings of the 2009 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2009, June 2009, article number 5076377, p. 19-24
Gu, Huaxi; Mo, Kwai Hung Morton; Xu, Jiang; Zhang, Wei Conference paper
A novel multiple description video coding based on H.264/AVC video coding standard
Proceedings - IEEE International Symposium on Circuits and Systems, June 2009, article number 5117986, p. 1237-1240
Wen, Xing; Au, Oscar Chi Lim; Xu, Jiang; Liang, Zhiqin; Yang, Yi; Tang, Weiran Conference paper
An efficient technique for analysis of minimal buffer requirements of synchronous dataflow graphs with model checking
Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis, October 2009, p. 61-70
Liu, Weichen; Gu, Zonghua; Xu, Jiang; Wang, Yu; Yuan, Mingxuan Conference paper
Design of 3D Optical Network on Chip
2009 Symposium on Photonics and Optoelectronics, SOPO 2009, September 2009, article number 5230071, p. 771-774
Gu, Huaxi; Xu, Jiang Conference paper
On-line MPSoC scheduling considering power gating induced power/ground noise
Proceedings of the 2009 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2009, June 2009, article number 5076392, p. 109-114
Xu, Yan; Liu, Weichen; Wang, Yu; Xu, Jiang; Chen, Xiaoming; Yang, Huazhong Conference paper

2008 5

Reducing Wavelength Conversion Range in Space/Wavelength Switches
IEEE Photonics Technology Letters, v. 20, (17), September 2008, p. 1458-1460
Lin, Bey-Chi; Lea, Chin-Tau; Tsang, Danny; Xu, Jiang Article
A novel optical mesh network-on-chip for gigascale systems-on-chip
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS, January 2009, article number 4746373, p. 728-731
Gu, Huaxi; Xu, Jiang; Wang, Zheng Conference paper
Design ASNoC for Low-Power SoCs
2008 International SoC Design Conference, ISOCC 2008, v. 1, April 2009, article number 4815587, p. 117-120
Xu, Jiang; Zhang, Wei; Mo, Kwai Hung Morton; Shao, Zili Conference paper
Design of sparse mesh for optical network on chip
Proceedings of SPIE - The International Society for Optical Engineering, v. 7136, November 2008, article number 71362F
Gu, Huaxi; Xu, Jiang; Wang, Zheng Conference paper
ODOR: A microresonator-based high-performance low-cost router for optical networks-on-chip
Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis, October 2008, p. 203-208
Gu, Huaxi; Xu, Jiang; Wang, Zhehui Conference paper

2006 1

A Design Methodology for Application-Specific Networks-on-Chip
ACM Transactions on Embedded Computing Systems, v. 5, (2), May 2006, p. 263-280
Xu, Jiang; Wolf, Wayne; Henkel, Joerg; Chakradhar, Srimat Article

2005 2

A Methodology for Design, Modeling, and Analysis of Networks-on-Chip
IEEE International Symposium on Circuits and Systems (ISCAS), Kobe, Japan, May 2005
Xu, Jiang; Wolf, Wayne; Henkel, Joerg; Chakradhar, Srimat Conference paper
H.264 HDTV Decoder Using Application-Specific Networks-on-Chip
IEEE International Conference on Multimedia and Expo, ICME 2005, v. 2005, October 2005, article number 1521719, p. 1508-1511
Xu, Jiang; Wolf, Wayne; Henkel, Joerg; Chakradhar, Srimat Conference paper

2004 3

A Methodology for Architectural Design of Multimedia Multiprocessor SoCs
IEEE Design & Test of Computers, v. 22, (1), January 2005, p. 18-26
Lv, Tiehan; Xu, Jiang; Ozer, I. Burak; Wolf, Wayne; Henkel, J?rg; Chakradhar, Srimat Article
Multiprocessor System-on-Chip Architectures for Video
Multiprocessor Systems-on-Chips / 2004, Morgan Kaufmann
Dutta, Santanu; Rennert, Jens; Lv, Tiehan; Xu, Jiang; Yang, Shengqi; Wolf, Wayne Book chapter
A Case Study in Networks-on-Chip Design for Embedded Video
Proceedings - Design, Automation and Test in Europe Conference and Exhibition, v. 2, March 2004, p. 770-775
Xu, Jiang; Wolf, Wayne; Henkel, Joerg; Chakradhar, Srimat; Lv, Tiehan Conference paper

2003 2

Augmenting Platform-based Design with Synthesis Tools
Journal of Circuits, Systems, and Computers, v. 12, (2), April 2003, p. 125-142
Xie, Yuan; Xu, Jiang; Wolf, Wayne Article
A Wave-Pipelined On-chip Interconnect Structure for Networks-on-Chip
Proceedings - 11th Symposium on High Performance Interconnects, HOTI 2003, September 2003, article number 1231471, p. 10-14
Xu, Jiang; Wolf, Wayne Conference paper

2002 2

Platform-Based Design and the First Generation Dilemma
Electronic Design Process Workshop (EDP), Monterey, USA, April 2002
Xu, Jiang; Wolf, Wayne Conference paper
Wave Pipelining for Application-Specific Networks-on-Chip
International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES), Grenoble, France, October 2002
Xu, Jiang; Wolf, Wayne Conference paper


No Publications






Teaching Assignment
2021-22 Winter 0 2021-22 Fall 4 2020-21 Summer 0 2020-21 Spring 3 2020-21 Winter 0 2020-21 Fall 1


FUNH6770 Professional Development for Function Hub
FUNH6800A Function Hub Seminar
MICS6990 MPhil Thesis Research
MICS7990 Doctoral Thesis Research


ELEC4940C Independent Study
FUNH5000 Introduction to Function Hub for Sustainable Future
MICS7990 Doctoral Thesis Research


MICS7990 Doctoral Thesis Research


No Teaching Assignments


No Teaching Assignments


No Teaching Assignments






Research Postgraduate (RPG) Supervision From January 2019 to December 2022 (As of 30 January 2022)


All Supervisions Current RPGs Graduated RPGs




Current RPGs


Doctor of Philosophy JIANG, Fan
Electronic and Computer Engineering( 2021 - )

LI, Xianbin
Electronic and Computer Engineering( 2021 - )

LIU, Jiaqi
Electronic and Computer Engineering( 2021 - )

PRAWOTO, Reno Adley (co-supervision)
Microelectronics( 2021 - )

SHI, Chengao
Electronic and Computer Engineering( 2021 - )

ZHANG, Shenjian (co-supervision)
Microelectronics( 2021 - )

CHEN, Lin
Electronic and Computer Engineering( 2020 - )

FU, Yuxiang
Electronic and Computer Engineering( 2020 - )

LI, Chengeng
Electronic and Computer Engineering( 2020 - )

LIU, Yinyi
Electronic and Computer Engineering( 2020 - )

LI, Xiao
Electronic and Computer Engineering( 2019 - )

CHEN, Shixi
Electronic and Computer Engineering( 2018 - )

ZHANG, Jiaxu
Electronic and Computer Engineering( 2018 - )

FENG, Jun
Electronic and Computer Engineering( 2017 - )




Master of Philosophy HO, Man Lee (co-supervision)
Microelectronics( 2021 - )





Graduated RPGs


Doctor of Philosophy CHEN, Xuanqi
Electronic and Computer Engineering( Completed in 2020 )

TIAN, Zhongyuan
Electronic and Computer Engineering( Completed in 2020 )

LI, Haoran
Electronic and Computer Engineering( Completed in 2019 )

VIVAS MAEDA, Rafael Kioji
Electronic and Computer Engineering( Completed in 2019 )

WANG, Zhifei
Electronic and Computer Engineering( Completed in 2019 )









ProjectsFrom January 2020 to December 2022

All Projects 7 Leading Projects 4 Participating Projects 3


Development and application of in-mold capacitance sensor system for high quality injection molding: topic# 1 Research and Implementation of High Precision Anti-jamming Capacitor Signal Transmission System


面向高品注塑的模內電容傳感器系統研發與應用: 子課題#1 高精度抗干擾電容信號變送系統研究與實現 Leading


Nanhai People's Government of Foshan


Project Team (HKUST)
XU Jiang (Lead)
GAO Furong


2021 -




Development and application of in-mold capacitance sensor system for high quality injection molding: topic# 2 Development and application of detection mechanism and system function of in-mold capacitance sensor


面向高品注塑的模內電容傳感器系統研發與應用: 子課題#2 模內電容傳感器檢測機理及系統功能研發與應用 Participating


Nanhai People's Government of Foshan


Project Team (HKUST)
GAO Furong
XU Jiang


2021 -




Intelligent Antenna Technology For Enhancing Connectivity Of Unmanned Surface Vessels (USVs)


用於增強無人水面船艇連通性的智能天線技術 Participating


Innovation and Technology Fund


Project Team (HKUST)
MURCH Ross (Lead)
XU Jiang


2021 -




ACCESS: AI Chip Center for Emerging Smart Systems Participating


Innovation and Technology Fund


Project Team (HKUST)
CHENG Tim Kwang-Ting (Lead)
CHAN Man Sun
TSUI Chi Ying
XU Jiang
ZHANG Wei


2020 -




Co-managing Energy Conversion, Delivery, and Consumption in Embedded Systems through Light-weight Transfer Learning


基於輕量傳遞學習的嵌入式系統能量管理 Leading


RGC - General Research Fund


Project Team (HKUST)
XU Jiang (Lead)


2019 - 2021




Chip-Specific Holistic Process Variation Tolerant Method and System for MPSoC in Embedded Systems


嵌入式片上多核系統中抗工藝漂移的全面片級方法 Leading


RGC - General Research Fund


Project Team (HKUST)
XU Jiang (Lead)


2017 - 2020




System-on-clip application trace sets and generation methodology for embedded systems Leading


Intel Corporation


Project Team (HKUST)
XU Jiang (Lead)


2011 -






相关话题/香港科技大学 工学院