删除或更新信息,请邮件至freekaoyan#163.com(#换成@)

Recent progress in GeSn growth and GeSn-based photonic devices

本站小编 Free考研考试/2022-01-01




1.
Introduction




Group IV lasers are of great interest for achieving monolithic integration of lasers with other silicon optoelectronic devices on a Si platform. These on-chip photonics have varied applications in many fields, including optical communication and sensing, with high reliability and low cost[1, 2]. However, due to the indirect bandgaps of Si and Ge, light emission from these materials is difficult to produce; the efficiency is therefore very low[313]. By adding α-Sn, another group IV element, into the Ge lattice, the energy difference between the Γ-valley and L-valley (ΔEΓ-L) decreases as the Sn content increases[14, 15]. The predicted Sn concentration needed for a Ge1–xSnx alloy to become a direct bandgap material is approximately 6%–10%, indicating great potential for the fabrication of silicon-based lasers[16, 17]. However, the epitaxial growth of Ge1–xSnx presents several challenges, such as the low bulk solubility of Sn in Ge (approximately 0.5%)[18] and the ease of occurrence of Sn segregation at high temperatures (approximately 140–250 °C).



In recent years, Ge1–xSnx alloys have been extensively researched; high quality GeSn alloys have been grown by many methods, such as chemical vapor deposition (CVD)[1925], molecular beam epitaxy (MBE)[2629], solid phase epitaxy[30], sputtering[3133], and metal-induced crystallization[34]. In this review, we first discuss the growth of GeSn alloys, and then the research progress of GeSn optoelectronic devices such as photodetectors (PDs)[3543], light emitting diodes (LEDs)[4447], and lasers will be demonstrated[4850].




2.
Growth of GeSn alloys




Although the Ge1–xSnx alloy is a new and promising group IV material, the epitaxial growth of Ge1–xSnx on Si substrates poses several challenges. The bulk solubility of Sn in Ge is only 0.5%, hampering the formation of high-Sn alloys. Furthermore, the lattice mismatch between Ge1–xSnx and Si is above 4%, which increases the difficulty of growing high-quality thick layers. Finally, the comparatively lower surface energy and melting temperature of Sn with respect to Ge causes Sn to segregate readily during fabrication.




2.1
Chemical vapor deposition




Ge1–xSnx alloys were first reported by Menendez and Kouvetak in 2001[1922]. They found that two types of Sn gasses, PhSnD3 (Ph = C6H5) and SnD4, have a higher stability compared to that of SnH4. PhSnD3 will decompose into D2, DC6H5, and Sn at 250 °C, while SnD4 will decompose into 2D2 and Sn. Later, they discovered that H2-diluted SnD4 has an even higher stability, and can be used to grow high quality GeSn alloys. Fig. 1 shows Transmission Electron Microscope (TEM) images of a GeSn alloy grown by ultra-high vacuum chemical vapor deposition (UHV-CVD) using SnD4 and Ge2H6 as gas sources. These GeSn alloys have a low threading defect density, and the lattice mismatch is released as a Lomer defect at the Ge1–xSnx/Si interface. The reciprocal space map data confirms the formation of a high quality relaxed epitaxial film.






onerror="this.onerror=null;this.src='http://www.jos.ac.cn/fileBDTXB/journal/article/jos/2018/6/PIC/17110003-1.jpg'"
class="figure_img" id="Figure1"/>



Download



Larger image


PowerPoint slide






Figure1.
(a) Reciprocal space maps of the (224) reflections of Si substrate and GeSn layer indicating a strain-free film. (b) XTEM images of Ge1–xSnx/Si(100) (arrows indicate the Lomer defects). Data from Ref. [22].




Because SnD4 was very difficult to synthesize, researchers from the IMCE (Interuniversity Microelectronics Centre) attempted to use SnCl4 as the Sn source in CVD growth[23, 24]. In 2011, they reported that high-quality GeSn alloys could be grown using SnCl4 and Ge2H6 gas precursors in a commercial CVD reactor. This was highly significant, as SnCl4 is more easily accessible and more stable than SnD4. Researchers later reported that by using low cost, commercially available GeH4 and SnCl4 as Ge and Sn precursors, GeSn alloys could be grown successfully on Si substrates[25].




2.2
Molecular beam epitaxy




MBE is another widely used method for growing Ge1–xSnx alloys. In 2011, Su et al. synthesized Ge1–xSnx alloy films on Si (001) substrates by MBE[28]. To reduce the lattice mismatch between the Ge1–xSnx alloy and Si, a Ge film was applied as a buffer layer by two-step MBE. Cross-sectional TEM (XTEM) micrographs (Fig. 2) show that most of the threading dislocations in the Ge0.922Sn0.078 layer are derived from those in the Ge buffer layer. This implies that the quality of the Ge1–xSnx layer is as high as that of the Ge buffer layer. This, in turn, suggests that the Ge1–xSnx film quality can be further enhanced by improving the Ge buffer quality. The crystalline quality of the Ge1–xSnx alloys are evaluated by the full width at half maximum values of the Ge1–xSnx X-ray diffraction peaks (2θ angles) as well as the χmin values. These values are 0.233° and 12.6%, respectively. Moreover, Kasper et al. and Lin et al. also reported on the growth of high quality GeSn alloys formed by MBE on Si substrates[26, 29, 30]. The effects of varied Sn content on the Sn segregation and the GeSn thermal stability were investigated in detail. It was found that the higher the Sn content, the lower the required processing temperature.






onerror="this.onerror=null;this.src='http://www.jos.ac.cn/fileBDTXB/journal/article/jos/2018/6/PIC/17110003-2.jpg'"
class="figure_img" id="Figure2"/>



Download



Larger image


PowerPoint slide






Figure2.
(a) XTEM image of the Ge0.922Sn0.078 alloy. (b) High resolution TEM micrograph of the Ge0.922Sn0.078/Ge interface. Data from Ref. [28].





2.3
Sputtering epitaxy




Although previous methods have successfully grown high quality Ge1–xSnx alloys, there have been further investigations into the use of alternative methods such as solid phase epitaxy, sputtering, and metal-induced crystallization. Among these, sputtering appears to offer the greatest potential for cost-effective mass production of Ge1–xSnx alloys. In 2002, Pérez Ladrón de Guevara et al. reported that crystalline Ge1–xSnx films could be achieved by sputtering methods on Ge substrates[31]. Zheng et al. demonstrated that crystalline Ge1–xSnx films can also be grown on Si (001) wafers at low substrate temperatures by radio frequency sputtering epitaxy[32]. Fig. 3 shows TEM results of sputtered-GeSn alloys on Ge buffers, where the Ge layer was fabricated on the Si substrate by the UHV-CVD method. As shown in Fig. 3, the sputtered GeSn film has a low defect density due to the high-quality Ge buffer layer grown by CVD. Fig. 4 shows the aligned and random Rutherford backscattering spectrometry (RBS) spectra of the as-grown GeSn alloys. The high degree of He-ion channeling confirms the formation of epitaxial films with χmin at approximately 5%. These results confirm that high-quality Ge1–xSnx films can be grown on Si wafers by sputtering epitaxy, and this method has practical applications for fabricating mass-scale infrared optoelectronics.






onerror="this.onerror=null;this.src='http://www.jos.ac.cn/fileBDTXB/journal/article/jos/2018/6/PIC/17110003-3.jpg'"
class="figure_img" id="Figure3"/>



Download



Larger image


PowerPoint slide






Figure3.
XTEM image of the sputtered Ge0.97Sn0.03 alloy on Ge buffered Si(100) substrate.






onerror="this.onerror=null;this.src='http://www.jos.ac.cn/fileBDTXB/journal/article/jos/2018/6/PIC/17110003-4.jpg'"
class="figure_img" id="Figure4"/>



Download



Larger image


PowerPoint slide






Figure4.
(Color online) Aligned and random Rutherford backscattering spectrometry (RBS) spectra of the as-grown Ge0.97Sn0.03 on Si (100).





3.
GeSn-based photonic devices




Theoretically, the bandgap of GeSn alloys can be tuned from 0.66 to 0 eV. This indicates that PDs using GeSn as the active layer could expand the range of Si photonics to at least the mid-infrared region. Moreover, the direct bandgap nature of GeSn alloys with Sn contents higher than 7 at% suggests that highly efficient group IV light sources are likely to be obtained soon. In this section, we first review the recent studies on GeSn PDs. Subsequently, GeSn LEDs and lasers will be discussed.




3.1
GeSn photodetectors




The direct bandgap of Ge is approximately 0.8 eV, indicating that Ge film PDs have low responsivities as wavelengths exceed 1550 nm. In 2011, Su et al. fabricated GeSn PIN photodetectors with the Ge0.97Sn0.03 active layer grown on Si (001) by MBE[35]. The GeSn PDs had a broad detection spectrum (extending to 1800 nm) covering the entire telecommunication range (shown in Fig. 5). The detectors have relatively high responsivities, such as 0.12 A/W at 1640 nm under a 1 V reverse bias, and a dark current density of approximately 1 to 2 A/cm2. Subsequently, GeSn PIN detectors were investigated widely, and the PD performance metrics such as detection range, dark current density, and operation speed, were greatly improved. Table 1 summarizes the GeSn PIN detector parameters reported in literature. Compared to PDs based on Ge or III–V materials, Ge1–xSnx PDs suffer from a much larger dark current (Idark). GeSn passivation after mesa etching can significantly reduce the detector’s dark current. Recent results show that the surface leakage current density of PDs is suppressed by ~100 times due to Si passivation. Thus, a dark current density (Jdark) of 0.073 A/cm2 at –1 V bias can be achieved for Ge 1–xSnx/Si PIN photodiodes.






onerror="this.onerror=null;this.src='http://www.jos.ac.cn/fileBDTXB/journal/article/jos/2018/6/PIC/17110003-5.jpg'"
class="figure_img" id="Figure5"/>



Download



Larger image


PowerPoint slide






Figure5.
(Color online) The responsivity of GeSn PIN detector. Solid dots represent the responsivity from the laser, while the red curve indicates the photocurrent from the Fourier-transform infrared test system. Data from Ref. [35].




In the previous section, it was shown that GeSn films can be grown by sputtering epitaxy. In 2016, Zheng et al. showed the first normal-incidence GeSn-based PIN PDs with a Ge0.94Sn0.06 active layer grown using sputtering epitaxy on a Ge (100) substrate[40]. The dark current density was approximately 0.24 A/cm2 at a reverse bias of 1 V. The Ge0.94Sn0.06/Ge PIN PDs had high responsivities at zero bias with an optical response wavelength extending up to 1985 nm, as shown in Table 1. This indicates that sputtering epitaxy is another promising approach for developing efficient and cost-effective GeSn-based infrared devices.






ParameterRef. [35]Ref. [36]Ref. [37]Ref. [38]Ref. [39]Ref. [40]
Sn content3%3.65%3.85%5%6.9%6%
GeSn thickness (nm)820750300360120500
J dark @ ?1 V (A/cm2)1.80.0060.40.0730.80.22
R @ 1600 nm (A/W)0.15 @ ?1 V0.25 @ 0 V0.2 @ 0 V0.1 ?1 V0.13 @ 0 V0.22 @ 0 V
Cut-off wavelength (nm)180021001800190020001985
GeSn growth byMBEMBEMBEMBEMBESputter





Table1.
Summary of GeSn PIN detectors reported in literature.



Table options
-->


Download as CSV





ParameterRef. [35]Ref. [36]Ref. [37]Ref. [38]Ref. [39]Ref. [40]
Sn content3%3.65%3.85%5%6.9%6%
GeSn thickness (nm)820750300360120500
J dark @ ?1 V (A/cm2)1.80.0060.40.0730.80.22
R @ 1600 nm (A/W)0.15 @ ?1 V0.25 @ 0 V0.2 @ 0 V0.1 ?1 V0.13 @ 0 V0.22 @ 0 V
Cut-off wavelength (nm)180021001800190020001985
GeSn growth byMBEMBEMBEMBEMBESputter





In 2016, Chang, et al. demonstrated a Ge/Ge0.975Sn0.025/ Ge PIN PD operated with back-side illumination[41]. It was found that the peak responsivity initially increased as the temperature was lowered, reaching a maximum at 125 K. This temperature can easily be reached with the use of liquid nitrogen. The back-side illumination allows many potential applications in imaging and sensing.



In addition to PIN detectors, GeSn photocurrent (PC) detectors with different Sn contents and operated at low temperatures have also been reported[42, 43]. Because Sn segregation occurs easily at low temperatures in high-Sn-content GeSn films, the low thermal budget of high-Sn-content GeSn PC detector fabrication is a promising approach leading to longer wavelength detection, reaching into the mid-infrared region. In 2014, Conley et al. reported on Ge0.9Sn0.1 PC detectors grown by reduced-pressure CVD[42]. The measured spectral response of the PC detectors extends up to 2.4 μm at 300 K and up to 2.2 μm at 77 K, with the peak responsivity of 1.63 A/W measured at 1.55 μm and 77 K. As shown in Fig. 6, although the Ge0.9Sn0.1 films show a fivefold improvement for specific detectivity (D*) compared to previously measured Ge1–xSnx films (1 × 109 cm·$sqrt {{text{Hz}}} {text{/W}} $), the calculated D* of the PC detector is about 1 order of magnitude lower than that of the extended-InGaAs detector. However, it is believed that the performance of GeSn detector could improve greatly in the near future by carefully designing the device.






onerror="this.onerror=null;this.src='http://www.jos.ac.cn/fileBDTXB/journal/article/jos/2018/6/PIC/17110003-6.jpg'"
class="figure_img" id="Figure6"/>



Download



Larger image


PowerPoint slide






Figure6.
(Color online) Specific detectivity of 2.0 mm photoconductors for different temperatures under 10 V bias. Specific detectivity for other detectors (InGaAs, extended-InGaAs, InAs, PbS, and Ge) in the same spectral range are plotted. Data from Ref. [42].





3.2
GeSn LEDs




It has been predicted that GeSn alloys with Sn contents between 6% and 10% should facilitate the indirect-to-direct bandgap transition. The rapid development of GeSn materials has led to observations of not only GeSn direct bandgap photoluminescence (PL), but also electroluminescence (EL) from diode structures. The demonstration of EL is particularly important for developing efficient light sources that can be integrated onto Si substrates.



In 2011, Oehme et al. fabricated a pseudomorphic GeSn-LED on Si with a Sn content of 1.1%[44]. This LED exhibits a direct band-to-band optical transition at a peak position of 1590 nm under a 400 mA current. Tseng et al. observed room-temperature EL emission from a PIN Ge/Ge0.922Sn0.078/ Ge double heterostructure (DH) diode[46]. The Ge/Ge0.922 Sn0.078/Ge DH structure has a type-I band alignment, wherein the conductance band and valence band in the active Ge0.922Sn0.078 layer serve as potential wells for both electrons and holes. The type-I band alignment has the advantage of enhancing the light emission because of the high degree of spatial overlap between the electrons and holes in the Ge0.922Sn0.078 layer. The threshold current of this LED is approximately 318 A/cm2, with an emission spectrum giving peaks at 0.545 eV (indirect bandgap) and 0.573 eV (direct bandgap), as shown in Fig. 8. The injection current threshold for GeSn LEDs could further be reduced with carrier-confining heterostructures, such as multi-quantum wells (MQWs). Stange et al. presented the growth and electro-optical characterization of GeSn/Ge PIN MQW LEDs with a Sn content of 8 at%[47]. A small injection current density threshold (roughly 30–50 A/cm2) is needed to induce light emission in these LEDs. Moreover, through calculations, they found that a SiGeSn alloy (another group IV material) used as a barrier layer has a much larger band offset than Ge. This suggests that the SiGeSn/GeSn MQW configuration is promising for future use as an electrically pumped GeSn laser.






onerror="this.onerror=null;this.src='http://www.jos.ac.cn/fileBDTXB/journal/article/jos/2018/6/PIC/17110003-7.jpg'"
class="figure_img" id="Figure7"/>



Download



Larger image


PowerPoint slide






Figure7.
(Color online) Schematics of cross sectional view of the Ge/Ge0.922Sn0.078/Ge DH PIN diode on Si substrate. Data from Ref. [46].






onerror="this.onerror=null;this.src='http://www.jos.ac.cn/fileBDTXB/journal/article/jos/2018/6/PIC/17110003-8.jpg'"
class="figure_img" id="Figure8"/>



Download



Larger image


PowerPoint slide






Figure8.
(Color online) EL spectra of the Ge/Ge0.922Sn0.078/Ge DH under various injection current densities. Data from Ref. [46].





3.3
Optical pumped GeSn lasers




The first optically pumped GeSn laser was reported by Wirths et al. in 2015[48]. The relaxed Ge0.874Sn0.126 layers were grown on thick Ge/Si virtual substrates using a CVD reactor. The high-quality relaxed GeSn layer was found to release the lattice mismatch between GeSn and the Ge buffer layer by a high density of misfit at the GeSn/Ge interface. These Lomer defects are the most efficient type of dislocations that can induce strain relaxation. From the TEM results, an estimate of the upper limit of the threading dislocation density in the GeSn layer is approximately 5 × 106 cm?2. The threshold excitation density of GeSn lasers is much higher at approximately ~325 kW/cm2, as shown in Fig. 9, while the operating temperature is much lower, about 100 K. In 2016, Al-Kabi, et al. also reported the formation of optically pumped GeSn edge-emitting lasers grown on Si substrates in CVD reactors[49]. To grow high quality, relaxed GeSn alloys, a 210 nm-thick bottom Ge0.9105Sn0.0895 layer was deposited over the Ge buffer to confine the high density of threading dislocations, which arose mainly from the lattice mismatch between the Ge buffer and the GeSn alloy. Since the threading dislocation loop is formed in the bottom GeSn layer and does not propagate to the top GeSn layer, the top layer exhibits extremely high quality with threading dislocation densities (TDDs) of 3 × 106 cm?2. The lasing operation temperature can be raised to 110 K. As shown in Fig. 10, the 10 K lasing threshold and wavelength were measured to be 68 kW/cm2 and 2476 nm, respectively. It is worth noting that the GeSn laser was fabricated in a “manufacture ready” process (industry reactor, low cost commercially available precursors, and single run epitaxy process), suggesting great potential for GeSn use in future integrated photonics applications.






onerror="this.onerror=null;this.src='http://www.jos.ac.cn/fileBDTXB/journal/article/jos/2018/6/PIC/17110003-9.jpg'"
class="figure_img" id="Figure9"/>



Download



Larger image


PowerPoint slide






Figure9.
(Color online) Integrated photoluminescence intensity as a function of optical excitation for three waveguide lengths. Inset: schematics of view of F–P cave laser. Data from Ref. [48].






onerror="this.onerror=null;this.src='http://www.jos.ac.cn/fileBDTXB/journal/article/jos/2018/6/PIC/17110003-10.jpg'"
class="figure_img" id="Figure10"/>



Download



Larger image


PowerPoint slide






Figure10.
(Color online) L–L curves of the 600 μm-long edge-emitting device at 10 and 90 K. Inset: (top) SEM image of ridge waveguide device; (bottom) optically pumped lasing spectra. Data from Ref. [49].




To improve the lasing temperature and threshold of GeSn lasers, GeSn microdisk lasers were fabricated by Stange et al.[50]. To create the GeSn microdisk laser, the GeSn mesa was first defined by reactive ion etching using Cl2/Ar plasma. The Ge buffer layer underneath the GeSn layer was then selectively removed by isotropic CF4 dry etching, resulting in a microdisk with a free rim adjoined to a Ge pedestal. The enhancement of the optical confinement by the large refractive index contrast between the GeSn and air will improve the optical properties of the GeSn disk’s cavity. Finally, the GeSn laser was observed at temperatures up to 130 K with an optical excitation density threshold of 220 kW/cm2 at 50 K, as shown in Fig. 11.






onerror="this.onerror=null;this.src='http://www.jos.ac.cn/fileBDTXB/journal/article/jos/2018/6/PIC/17110003-11.jpg'"
class="figure_img" id="Figure11"/>



Download



Larger image


PowerPoint slide






Figure11.
(Color online) (a) Schematic view of Ge0.875Sn0.125 microdisk laser. (b) Light-in light-out curves at different temperatures for Ge0.875Sn0.125 microdisk. Data from Ref. [49].





4.
Conclusion




As a new group IV material, GeSn alloys have garnered much attention in the last two decades. Many methods such as MBE, CVD, and sputtering have been developed to grow high-quality GeSn alloys on Si substrates. Progress was achieved in GeSn photodetectors and LEDs. Direct-bandgap GeSn alloys are reported and optically pumped GeSn lasers are fabricated and investigated. The GeSn alloy has shown promising applications in realizing the monolithic integration of a photonic circuit on a single Si chip in the near future.



相关话题/Recent progress growth