删除或更新信息,请邮件至freekaoyan#163.com(#换成@)

香港科技大学工学院老师教师导师介绍简介-Wei ZHANG

本站小编 Free考研考试/2022-01-30

Wei ZHANG
張薇
PhD in Computer Engineering
Princeton University, 2009

Associate Professor
Department of Electronic and Computer Engineering



(852) 2358 8170
eeweiz@ust.hk
Room 2449
Personal Web

Google Scholar
1nl6hScAAAAJ

ORCID
0000-0002-7622-6714

Scopus ID
55323850100




Research Interest Publications Projects Teaching Assignment RPG Supervision Space used




Research Interest
Computer architecture
Design automation
Heterogeneous computing
Field-programmable gate array (FPGA) based design
Embedded systems



Publications
All Years 168 2022 0 2021 3 2020 14 2019 10 2018 7 2017 21 2016 113





2021 3

Energy Efficient In-Memory Integer Multiplication based on Racetrack Memory
Proceedings - International Conference on Distributed Computing Systems, v. 2020-November, November 2020, article number 9355593, p. 1409-1414
Luo, Tao; Zhang, Wei; He, Bingsheng; Liu, Cheng; Maskell, Douglas Conference paper
Load-Step: A Precise TrustZone Execution Control Framework for Exploring New Side-channel Attacks like Flush+Evict
Proceedings - Design Automation Conference, v. 2021-December, December 2021, p. 979-984
Kou, Zili; He, Wenjian; Sinha, Sharad; Zhang, Wei Conference paper
Low Bitwidth CNN Accelerator on FPGA Using Winograd and Block Floating Point Arithmetic
Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI, v. 2021-July, July 2021, p. 218-223
Wong, Yuk; Dong, Zhenjiang; Zhang, Wei Conference paper

2020 14

BBB-CFI: Lightweight CFI Approach Against Code-Reuse Attacks Using Basic Block Information
ACM Transactions on Embedded Computing Systems, v. 19, (1), February 2020, article number 7
He, Wenjian; Das, Sanjeev; Zhang, Wei; Liu, Yang Article
Energy Minimization for Multicore Platforms Through DVFS and VR Phase Scaling With Comprehensive Convex Model
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 39, (3), March 2020, p. 686-699
Zhu, Zuomin; Zhang, Wei; Chaturvedi, Vivek; Singh, Amit Kumar Article
Guest Editorial: ACM JETC Special Issue on New Trends in Nanolectronic Device, Circuit, and Architecture Design: Part 2
ACM Journal on Emerging Technologies in Computing Systems, v. 16, (4), October 2020, article number 35
Zhang, Wei; Li, Helen; Wen, Wujie; Bhunia, Swarup Article
Hard-ODT: Hardware-Friendly Online Decision Tree Learning Algorithm and System
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 40, (11), November 2021, p. 2279-2292
Lin, Zhe; Sinha, Sharad; Zhang, Wei Article
Optimizing OpenCL-Based CNN Design on FPGA with Comprehensive Design Space Exploration and Collaborative Performance Modeling
ACM Transactions on Reconfigurable Technology and Systems, v. 13, (3), September 2020, article number 3397514
Mu, Jiandong; Zhang, Wei; Liang, Hao; Sinha, Sharad Article
Performance Modeling and Directives Optimization for High Level Synthesis on FPGA
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), v. 39, (7), July 2020, article number 8695879, p. 1428-1441
Zhao, Jieru; Feng, Liang; Sinha, Sharad; Zhang, Wei; Liang, Yun; He, Bingsheng Article
Towards High Performance Low Bitwidth Training for Deep Neural Networks
Journal of Semiconductors, v. 41, (2), February 2020, article number 022404
Su, Chunyou; Zhou, Sheng; Feng, Liang; Zhang, Wei Article
A history-based auto-tuning framework for fast and high-performance DNN design on GPU
Proceedings - Design Automation Conference, v. 2020-July, July 2020, article number 9218700
Mu, Jiandong; Wang, Mengdi; Li, Lanbo; Yang, Jun; Lin, Wei; Zhang, Wei Conference paper
Boyi: A systematic framework for automatically deciding the right execution model of OpenCL applications on FPGAs
FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays / Association for Computing Machinery, Inc. New York : Association for Computing Machinery, Inc, 2020, p. 299-309
Jiang, Jiantong; Wang, Zeke; Liu, Xue; Gómez-Luna, Juan; Guan, Nan; Deng, Qingxu; Zhang, Wei; Mutlu, Onur Conference paper
FP-Stereo: Hardware-Efficient Stereo Vision for Embedded Applications
2020 30th International Conference on Field-Programmable Logic and Applications (FPL) / IEEE. Piscataway, NJ : IEEE, 2020, p. 269-276
Zhao, Jieru; Liang, Tingyuan; Feng, Liang; Ding, Wenchao; Sinha, Sharad; Zhang, Wei; Shen, Shaojie Conference paper
HL-Pow: A Learning-Based Power Modeling Framework for High-Level Synthesis
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2020-January, January 2020, article number 9045442, p. 574-580
Lin, Zhe; Zhao, Jieru; Sinha, Sharad; Zhang, Wei Conference paper
iGPU Leak: An Information Leakage Vulnerability on Intel Integrated GPU
ASP-DAS 2020 25th Asia and South Pacific Design Automation Conference: Proceedings / IEEE. Piscataway, New Jersey : IEEE, 2020, p. 56-61, Article number 9045745
He, Wenjian; Zhang, Wei; Sinha, Sharad; Das, Sanjeev Conference paper
NCPower: Power Modelling for NVM-based Neuromorphic Chip
ACM International Conference Proceeding Series, July 2020, article number 15, p. 1-7
Wang, Zhehui; Zhang, Huaipeng; Luo, Tao; Wong, Wengfai; Do, Anhtuan; Vishnu, Paramasivam; Zhang, Wei; Goh, Ricksiow Conference paper
Real-Time Detection and Tracking Using Hybrid DNNs and Space-Aware Color Feature: From Algorithm to System
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 12046 LNCS, 2020, p. 61-75
Feng, Liang; Igarashi, Hiroaki; Shibata, Seiya; Kobayashi, Yuki; Takenaka, Takashi; Zhang, Wei Conference paper

2019 10

An Ensemble Learning Approach for In-Situ Monitoring of FPGA Dynamic Power
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 38, (9), September 2019, article number 8418725, p. 1661-1674
Lin, Zhe; Sinha, Sharad; Zhang, Wei Article
A Cost-Effective CNN Accelerator Design with Configurable PU on FPGA
Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI, July 2019, article number 8839437, p. 31-36
Fong, Chi Fung Brian; Mu, Jiandong; Zhang, Wei Conference paper
A Hybrid Data-Consistent Framework for Link-Aware AccessManagement in Emerging CPU-FPGA Platforms
Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, v. 2019, February 2019, p. 188
Feng, Liang; Zhao, Jieru; Liang, Tingyuan; Sinha, Sharad; Zhang, Wei Conference paper
Accelerate pattern recognition for cyber security analysis
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, New York, USA : Institute of Electrical and Electronics Engineers Inc., 2019, p. 23-24
Tahghighi, Mohammad; Zhang, Wei Conference paper
Hi-clockflow: Multi-clock dataflow automation and throughput optimization in high-level synthesis
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, November 2019, article number 8942136
Liang, Tingyuan; Zhao, Jieru; Feng, Liang; Sinha, Sharad; Zhang, Wei Conference paper
LAMA: Link-aware hybrid management for memory accesses in emerging CPU-FPGA platforms
Proceedings - Design Automation Conference, June 2019, article number a1
Feng, Liang; Zhao, Jieru; Liang, Tingyuan; Sinha, Sharad; Zhang, Wei Conference paper
Machine Learning Based Routing Congestion Prediction in FPGA High-Level Synthesis
Proceedings of the 2019 Design, Automation and Test in Europe Conference and Exhibition, DATE 2019, May 2019, article number 8714724, p. 1130-1135
Zhao, Jieru; Liang, Tingyuan; Sinha, Sharad; Zhang, Wei Conference paper
Poly: Efficient Heterogeneous System and Application Management for Interactive Applications
Proceedings - 25th IEEE International Symposium on High Performance Computer Architecture, HPCA 2019, March 2019, article number 8675214, p. 199-210
Wang, Shuo; Liang, Yun; Zhang, Wei Conference paper
SGXlinger: A New Side-channel Attack Vector Based on Interrupt Latency against Enclave Execution
Proceedings - 2018 IEEE 36th International Conference on Computer Design, ICCD 2018, 16 January 2019, article number 8615675, p. 108-114
He, Wenjian; Zhang, Wei; Das, Sanjeev; Liu, Yang Conference paper
Towards Efficient and Scalable Acceleration of Online Decision Tree Learning on FPGA
Proceedings of 27th IEEE International Symposium on Field-Programmable Custom Computing Machines, FCCM 2019, April 2019, article number 8735508, p. 172-180
Lin, Zhe; Sinha, Sharad; Zhang, Wei Conference paper

2018 7

FIexCL: A Model of Performance and Power for OpenCL Workloads on FPGAs
IEEE Transactions on Computers, v. 67, (12), December 2018, article number 8365849, p. 1750-1764
Liang, Yun; Wang, Shuo; Zhang, Wei Article
Hi-DMM: high-performance dynamic memory management in high-level synthesis
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 37, (11), November 2018, article number 8493498, p. 2555-2566
Liang, Tingyuan; Zhao, Jieru; Feng, Liang; Sinha, Sharad; Zhang, Wei Article
Parallelizing Hardware Tasks on Multicontext FPGA With Efficient Placement and Scheduling Algorithms
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 37, (2), February 2018, p. 350-363
Liang, Hao; Sinha, Sharad; Zhang, Wei Article
ROPSentry: Runtime Defense Against ROP Attacks Using Hardware Performance Counters
Computers and Security, v. 73, Mar 2018, p. 374-388
Das, Sanjeev; Chen, Bihuan; Chandramohan, Mahintham; Liu, Yang; Zhang, Wei Article
Thermal-aware Task Mapping on Dynamically Reconfigurable Network-on-Chip based Multiprocessor System-on-Chip
IEEE Transactions on Computers, v. 67, (12), December 2018, article number 8373717, p. 1818-1834
Liu, Weichen; Yang, Lei; Jiang, Weiwen; Feng, Liang; Guan, Nan; Zhang, Wei; Dutt, Nikil Article
A collaborative framework for FPGA-based CNN design modeling and optimization
Proceedings - 2018 International Conference on Field-Programmable Logic and Applications, FPL 2018, 9 November 2018, article number 8533483, p. 139-146
Mu, Jiandong; Zhang, Wei; Liang, Hao; Sinha, Sharad Conference paper
CAMAS: Static and Dynamic Hybrid Cache Management for CPU-FPGA Platforms
Proceedings - 26th IEEE International Symposium on Field-Programmable Custom Computing Machines, FCCM 2018, September 2018, article number 8457649, p. 165-172
Feng, Liang; Sinha, Sharad; Zhang, Wei; Liang, Yun Conference paper

2017 21

A Highly Elastic and Flexible Solid-state Polymer Electrolyte Based on Ionic Liquid-decorated PMMA Nanoparticles for Lithium Batteries
New Journal of Chemistry, v. 41, (21), November 2017, p. 13096-13103
Li, Yang; Wong, Ka Wai; Dou, Qianqian; Zhang, Wei; Wang, Lixiang; Ng, Ka Ming Article
A Hybrid Logic Block Architecture in FPGA for Holistic Efficiency
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 64, (1), January 2017, article number 7448864, p. 71-75
Luo, Tao; Liang, Hao; Zhang, Wei; He, Bingsheng; Maskell, Douglas Leslie Article
A Variation-Aware Adaptive Fuzzy Control System for Thermal Management of Microprocessors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 25, (2), February 2017, article number 7548276, p. 683-695
Cui, Yingnan; Zhang, Wei; He, Bingsheng Article
Fracturable DSP Block for Multi-context Reconfigurable Architectures
Circuits, Systems, and Signal Processing, v. 36, (7), July 2017, p. 3020-3033
Warrier, Rakesh; Shreejith, Shanker; Zhang, Wei; Vun, Chan Hua; Fahmy, Suhaib A. Article
HeteroSim: A Heterogeneous CPU-FPGA Simulator
IEEE Computer Architecture Letters, v. 16, (1), January-June 2017, article number 7585071, p. 38-41
Feng, Liang; Liang, Hao; Sinha, Sharad; Zhang, Wei Article
Multikernel Data Partitioning With Channel on OpenCL-Based FPGAs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 25, (6), June 2017, p. 1906-1918
Wang, Zeke; Paul, Johns; He, Bingsheng; Zhang, Wei Article
Pipeline Reconfigurable DSP for Dynamically Reconfigurable Architectures
Circuits, Systems, and Signal Processing, v. 36, (9), September 2017, p. 3799-3824
Warrier, Rakesh; Zhang, Wei; Vun, Chan Hua Article
Scalable Light-Weight Integration of FPGA Based Accelerators with Chip Multi-Processors
IEEE Transactions on Multi-Scale Computing Systems, v. 4, (2), April 2018, p. 152-162
Lin, Zhe; Sinha, sharad; Liang, Hao; Feng, Liang; Zhang, Wei Article
XPG Genetic Polymorphisms and Clinical Outcome of Patients with Advanced Non-Small Cell Lung Cancer Under Platinum-Based Treatment: a Meta-Analysis of 12 Studies
Cancer Chemotherapy and Pharmacology, v. 79, (4), 1 April 2017, p. 791-800
Xiang, Tianxin; Kang, Xiuhua; Gong, Zhenghua; Bai, Wei; Chen, Chuanhui; Zhang, Wei Article
In-place logic obfuscation for emerging nonvolatile FPGAs
Fundamentals of IP and SoC Security: Design, Verification, and Debug / Edited by Bhunia, Swarup; Ray, Sandip; Sur-Kolay, Susmita. Cham, Switzerland : Springer, 2017, p. 277-293, Ch. 11
Chen, Yichung; Wang, Yandan; Zhang, Wei; Chen, Yiran; Li, Hai Helen Book chapter
A Hybrid Approach to Cache Management in Heterogeneous CPU-FPGA Platforms
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, v. 2017-November, 13 December 2017, p. 937-944
Feng, Liang; Sinha, S.; Zhang, Wei; Liang, Y. Conference paper
A Novel Two-stage Modular Multiplier Based on Racetrack Memory for Asymmetric Cryptography
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, v. 2017-November, December 2017, p. 276-282
Luo, Tao; He, Bingsheng; Zhang, Wei; Maskell, Douglas Leslie Conference paper
COMBA: A Comprehensive Model-based Analysis Framework for High Level Synthesis of Real Applications
IEEE/ACM International Conference on Computer-Aided Design, v. 2017-November, December 2017, p. 430-437
Zhao, Jieru; Feng, Liang; Sinha, Sharad; Zhang, Wei; Liang, Yun; He, Bingsheng Conference paper
Decision tree based hardware power monitoring for run time dynamic power management in FPGA
2017 27th International Conference on Field Programmable Logic and Applications (FPL), October 2017, article number 8056832
Lin, Zhe; Zhang, Wei; Sharad, Sinha Conference paper
Dynamic Module Partitioning for Library Based Placement on Heterogeneous FPGAs
RTCSA 2017 - 23rd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, September 2017, article number 8046336
Mao, Fubing; Zhang, Wei; He, Bingsheng; Lam, Siew Kei Conference paper
FlexCL: An Analytical Performance Model for OpenCL Workloads on Flexible FPGAs
DAC '17 Proceedings of the 54th Annual Design Automation Conference 2017, New York, NY, USA : ACM, 2017, Article No. 27
Wang, Shuo; Liang, Yun; Zhang, Wei Conference paper
FP-DNN: An Automated Framework for Mapping Deep Neural Networks Onto FPGAs with RTL-HLS Hybrid Templates
Proceedings - IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines, June 2017, article number 7966671, p. 152-159
Guan, Yijin; Liang, Hao; Xu, Ningyi; Wang, Wenqiang; Shi, Shaoshuai; Chen, Xi; Sun, Guangyu; Zhang, Wei; Cong, Jason Conference paper
No-Jump-into-Basic-Block: Enforce Basic Block CFI on the Fly for Real-world Binaries
Proceedings of the 54th Annual Design Automation Conference 2017, v. Part 128280, 2017, article number 23
He, Wenjian; Das, Sanjeev; Zhang, Wei; Yang, Liu Conference paper
PAAS: A System Level Simulator For Heterogeneous Computing Architectures
2017 27th International Conference on Field Programmable Logic and Applications, FPL 2017, October 2017, article number 8056775
Liang, Tingyuan; Feng, Liang; Sinha, Sharad; Zhang, Wei Conference paper
Sparse Singular Value Decomposition-based Feature Extraction for Identifying Differentially Expressed Genes
Proceedings - 2016 IEEE International Conference on Bioinformatics and Biomedicine, BIBM 2016, January 2017, article number 7822795, p. 1822-1827
Liu, Jin-Xing; Kong, Xiang-Zhen; Zheng, Chun-Hou; Shang, Jun-Liang; Zhang, Wei Conference paper
Two-Stage Thermal-Aware Scheduling of Task Graphs on 3D Multi-Cores Exploiting Application and Architecture Characteristics
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, February 2017, article number 7858343, p. 324-329
Zhu, Zuomin; Chaturvedi, Vivek; Singh, Amit Kumar; Zhang, Wei; Cui, Yingnan Conference paper

2016 18

A Fine-Grained Control Flow Integrity Approach Against Runtime Memory Attacks for Embedded Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (11), November 2016, article number 7464886, p. 3193-3207
Das, Sanjeev; Zhang, Wei; Liu, Yang Article
Cost-efficient Acceleration of Hardware Trojan Detection Through Fan-Out Cone Analysis and Weighted Random Pattern Technique
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 35, (5), May 2016, p. 792-805
Zhou, Bin; Zhang, Wei; Thambipillai, Srikanthan; Jin, Jason Teo Kian; Chaturvedi, Vivek; Luo, Tao Article
Decentralized Thermal-Aware Task Scheduling for Large-Scale Many-Core Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (6), June 2016, article number 7332784, p. 2075-2088
Cui, Yingnan; Zhang, Wei; Chaturvedi, Vivek; He, Bingsheng Article
Distributed Sensor Network-on-Chip for Performance Optimization of Soft-Error-Tolerant Multiprocessor System-on-Chip
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (4), April 2016, article number 7202910, p. 1546-1559
Liu, Weichen; Zhang, Wei; Wang, Xuan; Xu, Jiang Article
Library-Based Placement and Routing in FPGAs with Support of Partial Reconfiguration
ACM Transactions on Design Automation of Electronic Systems, v. 21, (4), May 2016, article number 71
Mao, Fubing; Chen, Yichung; Zhang, Wei; Li, Hai; He, Bingsheng Article
Low-Power FPGA Design Using Memoization-Based Approximate Computing
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (8), August 2016, article number 7412762, p. 2665-2678
Sinha, Sharad; Zhang, Wei Article
Melia: A MapReduce Framework on OpenCL-Based FPGAs
IEEE Transactions on Parallel and Distributed Systems, v. 27, (12), December 2016, article number 7425227, p. 3547-3560
Wang, Zeke; Zhang, Shuhao; He, Bingsheng; Zhang, Wei Article
Semantics-Based Online Malware Detection: Towards Efficient Real-Time Protection Against Malware
IEEE Transactions on Information Forensics and Security, v. 11, (2), February 2016, article number 7299317, p. 289-302
Das, Sanjeev; Liu, Yang; Zhang, Wei; Chandramohan, Mahintham Article
Thermal-Aware Task Scheduling for 3D-Network-on-Chip: A Bottom to Top Scheme
Journal of Circuits, Systems, and Computers, v. 25, (1), January 2016, article number 1640003
Cui, Yingnan; Zhang, Wei; Chaturvedi, Vivek; Liu, Weichen; He, Bingsheng Article
A Discrete Thermal Controller for Chip-Multiprocessors
Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016, article number 7459282, p. 67-72
Cui, Yingnan; Zhang, Wei; He, Bingsheng Conference paper
A Performance Analysis Framework for Optimizing OpenCL Applications on FPGAs
roceedings - International Symposium on High-Performance Computer Architecture, 2016, article number 7446058, p. 114-125
Wang, Zeke; He, Bingsheng; Zhang, Wei; Jiang, Shunning Conference paper
A Racetrack Memory Based In-memory Booth Multiplier for Cryptography Application
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 25-28-January-2016, March 2016, article number 7428025, p. 286-291
Luo, Tao; Zhang, Wei; He, Bingsheng; Maskell, Douglas Conference paper
Analytical Delay Model for CPU-FPGA Data Paths in Programmable System-on-Chip FPGA
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 9625, 2016, p. 159-170
Tahghighi, Mohammad; Sinha, Sharad; Zhang, Wei Conference paper
Area Efficient Hardware Architecture for Implicitly Defined Complex Events Processing
Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI, v. 2016-September, September 2016, article number 7560277, p. 667-672
Tahghighi, Mohammad; Zhang, Wei; Sinha, Sharad Conference paper
HeteroSim: A Heterogeneous CPU-FPGA Simulator
26th International Conference on Field-Programmable Logic and Applications (FPL 2016), September 2016, article number 7577386
Feng, Liang; Liang, Hao; Sinha, Sharad; Zhang, Wei Conference paper
Modular Placement for Interposer based Multi-FPGA Systems
Proceedings of the 26th Edition on Great Lakes Symposium on VLSI, 2016, p. 93-98
Mao, Fubing; Zhang, Wei; Feng, Bo; He, Bingsheng; Ma, Yuchun Conference paper
Online Malware Defense Using Attack Behavior Model
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7527492, p. 1322-1325
Das, Sanjeev; Xiao, Hao; Liu, Yang; Zhang, Wei Conference paper
Relational Query Processing on OpenCL-based FPGAs
FPL 2016 - 26th International Conference on Field-Programmable Logic and Applications, September 2016, article number 7577329
Wang, Zeke; Paul, Johns; Cheah, Huiyan; He, Bingsheng; Zhang, Wei Conference paper

2015 14

Actively Alleviate Power-Gating-Induced Power/Ground Noise Using Parasitic Capacitance of On-Chip Memories in MPSoC
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (2), February 2015, article number 6767132, p. 266-279
Wang, Xuan; Xu, Jiang; Zhang, Wei; Wu, Xiaowen; Ye, Yaoyao; Wang, Zhehui; Nikdast, Mahdi; Wang, Zhe Article
Electro-kinetic phenomena in porous PET films filled with liquid crystals
Liquid Crystals, v. 42, (11), November 2015, p. 1537-1542
Pasechnik, Sergey V.; Chopik, A.P.; Shmeliova, Dina V.; Drovnikov, E.M.; Semerenko, Denis Alekseyevich; Dubtsov, Alexander V.; Zhang, Wei; Chigrinov, Vladimir Grigorievich Article
FDR 2.0: A Low-power Dynamically Reconfigurable Architecture and its FinFET Implementation
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (10), October 2015, p. 1987-2000
Lin, Ting-Jung; Zhang, Wei; Jha, Niraj, K. Article
Leveraging Hotspots and Improving Chip Reliability via Carbon Nanotube Grid Thermal Structure
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (4), April 2015, article number 6822625, p. 731-742
Liang, Hao; Zhang, Wei; Huang, Jiale; Yang, Shengqi; Gupta, Pallav Article
A Study of Data Partitioning on OpenCL-based FPGAS
25th International Conference on Field Programmable Logic and Applications, Institute of Electrical and Electronics Engineers (IEEE), 2015
Wang, Zeke; He, Bingsheng; Zhang, Wei Conference paper
An Efficient Technique for Chip Temperature Optimization of Multiprocessor Systems in the Dark Silicon Era
2015 IEEE 17th International Conference on High Performance Computing and Communications (HPCC 2015), 2015 IEEE 7th International Symposium on Cyberspace Safety and Security (CSS 2015), and 2015 IEEE 12th International Conf on Embedded: Software and Systems (ICESS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 688-693
Li, Mengquan; Yi, Juan; Liu, Weichen; Zhang, Wei; Yang, Lei; Sha, Edwin Hsing Mean Conference paper
Hierarchical library based power estimator for versatile FPGAs
2015 25th International Conference on Field Programmable Logic and Applications (FPL 2015), IEEE, 2015
Liang, Hao; Zhang, Wei; Sinha, Sharad; Chen, Yi-Chung; Li, Hai Conference paper
Hierarchical Library Based Power Estimator for Versatile FPGAs
2015 IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC 2015), IEEE, 2016, p. 25-32
Liang, Hao; Chen, Yi-Chung; Luo, Tao; Zhang, Wei; Li, Hai; He, Bingsheng Conference paper
Improving Data Partitioning Performance on OpenCL-based FPGAS
2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 34
Wang, Zeke; He, Bingsheng; Zhang, Wei Conference paper
Static hardware task placement on multi-context FPGA using hybrid genetic algorithm
2015 25th International Conference on Field Programmable Logic and Applications (FPL 2015), IEEE, 2015
Liang, Hao; Sinha, Sharad; Warrier, Rakesh; Zhang, Wei Conference paper
SynDFG: Synthetic Dataflow Graph Generator for High-level Synthesis
Proceedings of the Sixth Asia Symposium on Quality Electronic Design (ASQED 2015), The Institute of Electrical and Electronics Engineers, Inc., 2015, p. 50-55
Sinha, Sharad; Zhang, Wei Conference paper
Thermal-aware Task Scheduling for 3D-Network-on-Chip: A bottom-to-Top Scheme
Proceedings of the 14th International Symposium on Integrated Circuits, ISIC 2014, February 2015, article number 7029547, p. 224-227
Cui, Yingnan; Zhang, Wei; Chaturvedi, Vivek; Liu, Weichen; He, Bingsheng Conference paper
Traffic-aware Application Mapping for Network-on-chip Based Multiprocessor System-on-chip
Proceedings: 2015 IEEE 17th International Conference on High Performance Computing and Communications, 2015 IEEE 7th International Symposium on Cyberspace Safety and Security, 2015 IEEE 12th International Conferen on Embedded Software and Systems (HPCC-CSS-ICESS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 571-576
Yang, Lei; Liu, Weichen; Jiang, Weiwen; Zhang, Wei; Li, Mengquan; Yi, Juan; Liu, Duo; Sha, Edwin Hsing Mean Conference paper
Two-Photon Excited Fluorescence Emission from Hemoglobin
SPIE Proceedings, v. 9329, 2015, article number 93290O
Sun, Qiqi; Zeng, Yan; Zhang, Wei; Zheng, Wei; Luo, Yi; Qu, Jianan Conference paper

2014 15

A fine-grain dynamically reconfigurable architecture aimed at reducing the FPGA-ASIC gaps
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (12), 2014, article number 6729082, p. 2607-2620
Lin, Ting-Jung; Zhang, Wei; Jha, Niraj K. Article
Nonvolatile CBRAM-crossbar-based 3-D-integrated hybrid memory for data retention
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (5), 2014, article number 6549168, p. 957-970
Wang, Yuhao; Yu, Hao; Zhang, Wei Article
Systematic Analysis of Crosstalk Noise in Folded-Torus-Based Optical Networks-on-Chip
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 33, (3), March 2014, article number 6740052, p. 437-450
Nikdast, Mahdi; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Ye, Yaoyao; Wang, Xuan; Wang, Zhehui; Wang, Zhe Article
A Low Cost Acceleration Method for Hardware Trojan Detection Based on Fan-out Cone Analysis
Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, October 2014, article number 28
Zhou, Bin; Zhang, Wei; Thambipillai, Srikanthan; Teo, J.K.J. Conference paper
A low-power pipelined MAC architecture using Baugh-Wooley based multiplier
2014 IEEE 3rd Global Conference on Consumer Electronics, GCCE 2014, February 2014, article number 7031169, p. 505-506
Warrier, Rakesh; Vun, Chan Hua; Zhang, Wei Conference paper
An Extended Framework for Worst-case Throughput Analysis with Router Constraint
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS, February 2015, article number 7032875, p. 691-694
Mohan, Vineeth; Hsu, Wenjing; Zhang, Wei; Wu, Xiaowen Conference paper
FPGA Based Control Flow Checking
51st Design Automation Conference, San Francisco, CA, USA, 1-5 June 2014
Das, Sanjeev; Zhang, Wei; Liu, Yang Conference paper
Hierarchical Library-Based Power Estimator for Versatile FPGAs
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, New York, NY, USA : ACM, 2014, p. 243
Liang, Hao; Chen, Yi-Chung; Zhang, Wei; Li, Hai Conference paper
Reconfigurable DSP Block Design for Dynamically Reconfigurable Architecture
Proceedings - 2014 IEEE International Symposium on Circuits and Systems, IEEE, 2014, p. 2551-2554
Warrier, Rakesh; Liang, Hao; Zhang, Wei Conference paper
Reconfigurable Dynamic Trusted Platform Module for Control Flow Checking
Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI, September 2014, article number 6903354, p. 166-171
Das, Sanjeev; Zhang, Wei; Liu, Yang Conference paper
Reconfigurable Dynamic Trusted Platform Module for Runtime Execution Monitoring
51st Design Automation Conference, San Francisco, CA, USA, 1-5 June 2014
Das, Sanjeev; Zhang, Wei; Liu, Yang Conference paper
Soft Error Mitigation Through Selection of Non-invert Implication Paths
Proceedings of the 2014 NASA/ESA Conference on Adaptive Hardware and Systems, AHS 2014, 2014, article number 6880161, p. 77-82
Zhou, Bin; Thambipillai, Srikanthan; Zhang, Wei Conference paper
Sum of products: Computation using modular thermometer codes
2014 International Symposium on Intelligent Signal Processing and Communication Systems, ISPACS 2014, January 2014, article number 7024441, p. 141-146
Vun, Nicholas C. Hua; Premkumar, Annamalai Benjamin; Zhang, Wei Conference paper
Thermal-Aware Task Scheduling for Peak Temperature Minimization under Periodic Constraint for 3D-MPSoCs
2014 25th IEEE International Symposium on Rapid System Prototyping (RSP 2014), Institute of Electrical and Electronics Engineers (IEEE), 2014, p. 107-113
Chaturvedi, Vivek; Singhy, Amit Kumar; Zhang, Wei; Srikanthan, Thambipillai Conference paper
Towards Automatic Partial Reconfiguration in FPGAs
Proceedings of the 2014 International Conference on Field-Programmable Technology, FPT 2014, 2014, article number 7082798, p. 286-287
Mao, Fubing; Zhang, Wei; He, Bingsheng Conference paper

2013 8

A New RNS based DA Approach For Inner Product Computation
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 60, (8), 2013, article number 6423225, p. 2139-2152
Vun, Chan Hua; Premkumar, Annamalai Benjamin; Zhang, Wei Article
Formal Worst-Case Analysis of Crosstalk Noise in Mesh-Based Optical Networks-on-Chip
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 21, (10), October 2013, article number 6352932, p. 1823-1836
Xie, Yiyuan; Nikdast, Mahdi; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Ye, Yaoyao; Wang, Xuan; Wang, Zhehui; Liu, Weichen Article
NBTI Aware Circuit Node Criticality Computation
ACM Journal on Emerging Technologies in Computing Systems, v. 9, (3), 2013, article number 23
Yang, Shengqi; Wang, Wenping; Hagan, Mark; Zhang, Wei; Gupta, Pallav; Cao, Yu Article
A Hardware Security Scheme for RRAM-based FPGA
2013 23rd International Conference on Field Programmable Logic and Applications (FPL 2013), Institute of Electrical and Electronics Engineers (IEEE), 2013
Chen, Yi-Chung; Zhang, Wei; Li, Hai Conference paper
A Network-on-Chip Benchmark Suite Based on Real Applications
4th Workshop on SoCs, Heterogeneous Architectures and Workloads, Shenzhen, China, 24 February 2013
Liu, Weichen; Wang, Zhe; Wu, Xiaowen; Xu, Jiang; Li, Bin; Zhang, Wei; Ye, Yaoyao; Wang, Zhehui; Nikdast, Mahdi Conference paper
Active Power-Gating-Induced Power/Ground Noise Alleviation Using Parasitic Capacitance of On-Chip Memories
Proceedings -Design, Automation and Test in Europe, v. 2013, May 2013, article number 6513699, p. 1221-1224
Wang, Xuan; Xu, Jiang; Zhang, Wei; Wu, Xiaowen; Ye, Yaoyao; Wang, Zhehui; Nikdast, Mahdi; Wang, Zhe Conference paper
Digital-Assisted Noise Eliminating Training for Memristor Crossbar-based Analog Neuromorphic Computing Engine
Proceedings of the 50th Annual Design Automation Conference, New York, NY, USA : ACM, 2013
Liu, Beiye; Hu, Miao; Li, Hai; Mao, Zhi Hong; Chen, Yiran; Huang, Tingwen; Zhang, Wei Conference paper
Thermal Simulator of 3D-IC with Modeling of Anisotropic TSV Conductance and Microchannel Entrance Effects
2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC 2013), IEEE, 2013, p. 485-490
Qian, Hanhua; Liang, Hao; Chang, Chip-Hong; Zhang, Wei; Yu, Hao Conference paper

2012 20

A Nano-Electro-Mechanical-Switch based Thermal Management for 3D Integrated Many-core Memory-Processor System
IEEE Transactions on Nanotechnology (TNANO),, v.11, No.3, pp.588-600, 2012
Huang, Xiwei; Zhang, Chun; Yu, Hao; Zhang, Wei Article
A Physical Design Tool for Carbon Nanotube Field-Effect Transistor Circuits
ACM Journal on Emerging Technologies in Computing Systems (JETC), v. 8, No. 3, Article 25, 2012
Huang, Jiale; Zhu, Minhao; Yang, Shengqi; Gupta, Pallav; Zhang, Wei; Rubin, Steven M.; Garreton, Gila; He, Jin Article
Design Exploration of Hybrid CMOS and Memristor Circuit by New Modified Nodal Analysis
IEEE Transactions on Very Large Scale Integration Systems (TVLSI),, v. 20, Issue 6, 2012, Article number 5762650, p. 1012-1025
Fei, Wei; Yu, Hao; Zhang, Wei; Yeo, Kiat Seng Article
SRAM-based NATURE: A synamically reconfigurable FPGA based on 10T low-power SRAMs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 20, Issue 11, 2012, Article number 6060944, p. 2151-2156
Lin, Tingjung; Zhang, Wei; Jha, Niraj K. Article
The 3D Stacking Bipolar RRAM for High Density
IEEE Transaction on Nanotechnology (TNANO), v. 11, (5), 2012, article number 6241434, p. 945-956
Chen, Yi-Chung; Li, Hai; Zhang, Wei; Pino, Robinson E. Article
FONoC: a Fat Tree Based Optical Network-on-Chip for Multiprocessor System-on-Chip
Integrated Optical Interconnect Architectures for Embedded Systems / Editor: Ian O'Connor, Gabriela Nicolescu. New York, NY : Springer, 2012, p. 137-152
Xu, Jiang; Gu, Huaxi; Zhang, Wei; Liu, Weichen Book chapter
A Look Up Table Design with 3D Bipolar RRAMs
17TH IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), Sydney, AUSTRALIA, 30 Jan- 2 Feb 2012
Chen, Y, C.; Li, H.; Zhang, Wei Conference paper
A Novel Low-Waveguide-Crossing Floorplan for Fat Tree Based Optical Networks-on-Chip
2012 Optical Interconnects Conference, OIC 2012 / IEEE. New York, NY, USA : IEEE, 2012, p. 100-101, Article no.: 6224427
Wang, Zhehui; Xu, Jiang; Wu, Xiaowen; Ye, Yaoyao; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan; Wang, Zhe Conference paper
A novel peripheral circuit for RRAM-based LUT
2012 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS 2012), IEEE International Symposium on Circuits and Systems, Seoul; South Korea; 20 - 23 May 2012, 2012, Article number 6271619,p. 1811-1814
Chen, Yi-Chung; Li, Hai (Helen); Zhang, Wei Conference paper
A RRAM-based Memory System and Applications
Non-Volatile Memories Workshop, 2012
Chen, Y.C.; Zhang, Wei; Li, H. Conference paper
A Thermal and Process Variation Aware MTJ Switching Model and Its Applications in Soft Error Analysis
International Conference on Computer Aided Design (ICCAD), San Jose, CA, United States, Nov 2012
Wang, P, Y.; Zhang, Wei; Joshi, R.; Kanj, R.; Chen, Y. Conference paper
An Efficient Soft Error Protection Scheme for MPSoC and FPGA-based Verification
6th IEEE International Conference on Anti-Counterfeiting, Security and Identification (ASID), Taipei, Taiwan, 24-26 August 2012
Zhang, Wei; Liu, W.; Mao, F. Conference paper
Decentralized Agent Based Re-Clustering for Task Mapping of Tera-Scale Network-on-Chip System
IEEE International Symposium on Circuit and System (ISCAS), Seoul, SOUTH KOREA, 20-23 May 2012
Cui, Yingnan; Zhang, Wei; Yu, Hao Conference paper
Design of low power 3D hybrid memory by non-volatile CBRAM-crossbar with block-level data-retention
IEEE International Symposium on Low Power Electronics and Design (ISLPED), , Redondo Beach, CA, United States, 30 July - 1 Aug 2012
Zhang, Wei; Yu, H.; Wang, Y.; Zhang, C. Conference paper
Distributed Thermal-Aware Task Scheduling for 3D Network-on-Chip
30TH International Conference on Computer Design (ICCD), Concordia Univ, Montreal, CANADA, 30 Sep-3 Oct 2012
Cui, Y.; Zhang, Wei; Yu, H. Conference paper
Fine-grained Dynamic Voltage Scaling on OLED Display
IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), Sydney, AUSTRALIA, 30 Jan - 2 Feb 2012
Chen, X.; Zheng, J.; Chen, Y.; Li, H.; Zhang, Wei; Liao, S, W.; Wang, J. Conference paper
Holistic Comparison of Optical Routers for Chip Multiprocessors
Proceedings of the International Conference on Anti-Counterfeiting, Security and Identification, ASID, article number 6325348
Ye, Yaoyao; Wu, Xiaowen; Xu, Jiang; Zhang, Wei; Nikdast, Mahdi; Wang, Xuan Conference paper
Non-volatile 3D stacking RRAM-based FPGA
22nd International Conference on Field Programmable Logic and Applications (FPL), Oslo, Norway, 29-31 Augt 2012
Chen, Y.C.; Zhang, Wei; Li, H. Conference paper
Thermal analysis for 3D optical network-on-chip based on a novel low-cost 6x6 optical router
2012 Optical Interconnects Conference, Santa Fe, USA, 20-23 May 2012
Ye, Yaoyao; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan; Wang, Zhehui; Wang, Zhe Conference paper
uBRAM-based Run-time Reconfigurable FPGA and Corresponding Reconfiguration Methodology
International Conference on Field Programmable Technology (FPT), Seoul, South Korea, Dec 2012
Zhang, Wei; Chen, Y.C.; Li, H. Conference paper

2011 10

Coroutine-based synthesis of efficient embedded software from SystemC models
IEEE embedded systems letters, v. 3, (1), March 2011, p. 46-49
Liu, Weichen; Xu, Jiang; Muppala, Jogesh; Zhang, Wei; Wu, Xiaowen; Ye, Yaoyao Article
SRAM-based NATURE: A Dynamically Reconfigurable FPGA Based on 10T Low-power SRAMs
IEEE Transactions on Very Large Scale Integration Systems (TVLSI), v. 20, (11), 2012, p. 2151-2156
Lin, Ting-Jung; Zhang, Wei; Jha, Niraj K. Article
A Hybrid Nano/CMOS Dynamically Reconfigurable System
Nanoelectronic Circuit Design / Zhang, Wei, Jha, Niraj, K, Shang, Li. Berlin: Springer, pp 97-151, 2011
Zhang, Wei; Jha, Niraj, K.; Shang, Li Book chapter
Power Dissipation
Low-Power Variation-Tolerant Design in Nanometer Silicon / Liang, Hao, Huang, Jiale, Zhang, Wei. Berlin: Springer, 2011, p. 41-80
Liang, Hao; Huang, Jiale; Zhang, Wei Book chapter
3D-HIM: A 3D High-density interleaved memory for bipolar RRAM design
Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH, 2011 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2011; San Diego, CA; United States; 8 June - 9 June 2011, 2011, Article number 5941484, p. 59-64
Chen, YiChung; Li, Hai; Zhang, Wei; Pino, Robinson E. Conference paper
A NoC traffic suite based on real applications
Proceedings - 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, August 2011, article number 5992461, p. 66-71
Liu, Weichen; Xu, Jiang; Wu, Xiaowen; Ye, Yaoyao; Wang, Xuan; Zhang, Wei; Nikdast, Mahdi; Wang, Zhehui Conference paper
An HQV-approved edge directed interpolation algorithm for de-interlacing
54th IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), Yonsei Univ, Seoul, SOUTH KOREA, 7-10 Aug 2011
Yang, S.; Zhang, Wei; Zou, J. Conference paper
Case study: Alleviating hotspots and improving chip reliability via carbon nanotube thermal interface.
IEEE Design Automation and Testing in Europe, Grenoble, France, 14-18, March, 2011, Article number 5763176, p. 1071-1076
Zhang, Wei; Huang, Jiale; Yang, Shengqi; Gupta, Pallav Conference paper
Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip
Proceedings - 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, August 2011, article number 5992489, p. 254-259
Ye, Yaoyao; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Wang, Xuan; Nikdast, Mahdi; Wang, Zhehui; Liu, Weichen Conference paper
NEMS based Thermal Management for 3D Many-core System
IEEE International Symposium on Nanoscale Architectures (NanoArch), June 2011, Article number 5941507, p. 218-223.
Huang, Xiwei; Yu, Hao; Zhang, Wei Conference paper

2010 6

Low-Power 3D Nano/CMOS Hybrid Dynamically Reconfigurable Architecture
ACM Journal on Emerging Technologies in Computing Systems, v. 6, Issue 3, August 2010, Article number 10
Zhang, Wei; Shang, Li; Jha, Niraj K. Article
A hardware-software collaborated method for soft-error tolerant MPSoC
Proceedings of 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, 2011, article number 5992490, p. 260-265
Liu, Weichen; Xu, Jiang; Wang, Xuan; Wang, Yu; Zhang, Wei; Ye, Yaoyao; Wu, Xiaowen; Nikdast, Mahdi; Wang, Zhehui Conference paper
A Hierarchical Hybrid Optical-Electronic Network-on-Chip
Proceedings - IEEE Annual Symposium on VLSI, ISVLSI 2010, 2010, p. 327-332
Mo, Kun Wang; Ye, Yaoyao; Wu, Xiaowen; Zhang, Wei; Liu, Weichen; Xu, Jiang Conference paper
A Unified Inter/Intra-chip Optical Interconnect Network
IEEE/ACM International Symposium on Nanoscale Architectures (NanoArch), San Francisco, June 2010
Wu, Xiaowen; Ye, Yaoyao; Zhang, Wei; Liu, Weichen Conference paper
Crosstalk noise and bit error rate analysis for optical network-on-chip
Proceedings - Design Automation Conference, June 2010, p. 657-660
Xie, Yiyuan; Nikdast, Mahdi; Xu,Jiang; Zhang, Wei; Li, Qi; Wu, Xiaowen; Ye, Yaoyao; Wang, Xuan; Liu, Weichen Conference paper
UNION: A Unified Inter/Intra-Chip Optical Network for chip multiprocessors
Proceedings of the 6th IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH, Anaheim, California, 17-18 Jun 2010, p. 35-40
Wu, Xiaowen; Ye, Yaoyao; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan; Xu, Jiang Conference paper

2009 6

A Hybrid Nano/CMOS Dynamically Reconfigurable System – Part I: Architecture
ACM Journal on Emerging Technologies in Computing Systems, v. 5, Issue 4, 2009, p.1-30
Zhang, Wei; Jha, Niraj K.; Shang, Li Article
A Hybrid Nano/CMOS Dynamically Reconfigurable System – Part II: Design Optimization Flow
ACM Journal on Emerging Technologies in Computing Systems (JETC), v. 5, No. 3, Article 13, August 2009
Zhang, Wei; Shang, Li; Jha, Niraj K. Article
Design Space Exploration and Data Memory Architecture Design for a Hybrid Nano/CMOS Dynamically Reconfigurable Architecture
ACM Journal on Emerging Technologies in Computing Systems (JETC), v. 5, (4), Article 17, November 2009
Zhang, Wei; Jha, Niraj K.; Shang, Li Article
Double-Data-Rate, Wave-Pipelined Interconnect for Asynchronous NoCs
IEEE Micro, v. 29, (3), May-June 2009, p. 20-30
Xu, Jiang; Wolf, Wayne; Zhang, Wei Article
A Low-Power Fat Tree-based Optical Network-on-Chip for Multiprocessor System-on-Chip
Proceedings -Design, Automation and Test in Europe, DATE, June 2009, article number 5090624, p. 3-8
Gu, Huaxi; Xu, Jiang; Zhang, Wei Conference paper
A Low-power Low-cost Optical Router for Optical Networks-on-Chip in Multiprocessor Systems-on-Chip
Proceedings of the 2009 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2009, June 2009, article number 5076377, p. 19-24
Gu, Huaxi; Mo, Kwai Hung Morton; Xu, Jiang; Zhang, Wei Conference paper

2008 1

Design ASNoC for Low-Power SoCs
2008 International SoC Design Conference, ISOCC 2008, v. 1, April 2009, article number 4815587, p. 117-120
Xu, Jiang; Zhang, Wei; Mo, Kwai Hung Morton; Shao, Zili Conference paper

2007 1

NanoMap: An Integrated Design Optimization Flow for a Hybrid Nanotube/CMOS Dynamically Reconfigurable Architecture
Proceedings - Design Automation Conference 2007, 2007, p. 300-305, Article number 4261195
Zhang, Wei; Shang, Li; Jha, Niraj K. Conference paper

2006 1

NATURE: A Hybrid Nanotube/CMOS Dynamically Reconfigurable Architecture
IEEE Design Automation Conference (DAC), June 2006, p. 711-716
Zhang,Wei; Jha, Niraj K.; Shang, Li Conference paper

2005 4

6 nm half-pitch lines and 0.04 νm 2 static random access memory patterns by nanoimprint lithography
Nanotechnology, v. 16, Issue 8, 1 August 2005, p. 1058-1061
Austin, Michael D.; Zhang, Wei; Ge, Haixiong; Wasserman, Daniel M.; Lyon, Stephen A.; Chou, Stephen Article
Electrostatic force-assisted nanoimprint lithography
Nano Letters, v. 5, Issue 3, March 2005, p. 527-530
Liang, Xiaogan; Zhang, Wei; Li, Mingtao; Xia, Qiangfei; Wu, Wei; Ge, Haixiong; Huang, Xinyu; Chou, Stephen Article
High-performance nanowire-grid polarizers
Optics Letters, v. 30, Issue 2, 15 January 2005, p. 195-197
Wang, Jianjim; Zhang, Wei; Deng, Xuegong; Deng, Jiandong; Liu, Feng; Sciortino, Paul F.; Chen, Lei Article
ALLCN: An Automatic Logic-to-Layout Tool for Carbon Nanotube Based Nanotechnology
Proceedings - IEEE International Conference on Computer Design (ICCD): VLSI in Computers and Processors, v. 2005, Article number 1524166, Pages 281-288
Zhang, Wei; Jha, Niraj K. Conference paper

2003 4

Fabrication of 60-nm transistors on 4-in. wafer using nanoimprint at all lithography levels
Applied Physics Letters, v. 83, Issue 8, 25 August 2003, p. 1632-1634
Zhang, Wei; Chou, Stephen Article
Pattern transfer fidelity of nanoimprint lithography on six-inch wafers
Nanotechnology, v. 14, Issue 1, January 2003, p. 33-36
Li, Mingtao; Chen, Lei; Zhang, Wei; Chou, Stephen Article
Electrically Tunable Free-Space Sub-Wavelength Grating Filters with 30nm Tuning Range
Optical Fiber Communication Conference (OFC), Conference on Optical Fiber Communication, Technical Digest Series, v. 86, 2003, p. 31-32
Wang, Jian; Chen, Lei; Sciortino, Paul F.; Deng, Xuegong; Liu, Feng; Zhang, Wei; Park, Y. Conference paper
Precision nano-optical waveplates
OSA Trends in Optics and Photonics Series, Conference on Lasers and Electro-Optics (CLEO); Postconference Digest; Baltimore, MD; United States; 1 - 6 June 2003, v. 88, 2003, p. 993-995
Wang, Jianjim; Zhang, Wei; Tseng, Betty; Nikolov, Anguel S.; Deng, Xuegong; Liu, Feng; Gan, Dong; Niu, Xinwei; Chen, Lei; Sciortino, Paul F.; Kostal, Hubert Conference paper

2002 1

Application of Optimization Methods to Crack Profile Inversion Using Eddy-Current Data
Review of Progress in Quantitative Nondestructive Evaluation, July 2002
Bowler, John; Zhang, Wei; Dogand?i?, Alexander Conference paper

2001 1

Multilevel nanoimprint lithography with submicron alignment over 4 in. Si wafers
Applied Physics Letters, v. 79, Issue 6, 6 August 2001, p. 845-847
Zhang, Wei; Chou, Stephen Article

1999 1

Positioning of self-assembled, single-crystal, germanium islands by silicon nanoimprinting
Applied Physics Letters, v. 74, Issue 12, 22 March 1999, p. 1773-1775
Kamins, Theodore I. Ted; Ohlberg, Douglas A.A.; Williams, Stanley Stanley; Zhang, Wei; Chou, Stephen Article

1998 2

Large area high density quantized magnetic disks fabricated using nanoimprint lithography
Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, v. 16, Issue 6, November 1998, p. 3825-3829
Wu, Wei; Cui, Bo; Sun, Xiaoyun; Zhang, Wei; Zhuang, Lei; Kong, Linshu; Chou, Stephen Article
Multilayer resist methods for nanoimprint lithography on nonflat surfaces
Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures,42nd International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication (EIPBN) CHICAGO, ILLINOIS, 26-29, May 1998, v. 16, Issue 6, November 1998, p. 3922-3925
Sun, Xiaoyun; Zhuang, Lei; Zhang, Wei; Chou, Stephen Article





Conference paper 3

Energy Efficient In-Memory Integer Multiplication based on Racetrack Memory
Proceedings - International Conference on Distributed Computing Systems, v. 2020-November, November 2020, article number 9355593, p. 1409-1414
Luo, Tao; Zhang, Wei; He, Bingsheng; Liu, Cheng; Maskell, Douglas
Load-Step: A Precise TrustZone Execution Control Framework for Exploring New Side-channel Attacks like Flush+Evict
Proceedings - Design Automation Conference, v. 2021-December, December 2021, p. 979-984
Kou, Zili; He, Wenjian; Sinha, Sharad; Zhang, Wei
Low Bitwidth CNN Accelerator on FPGA Using Winograd and Block Floating Point Arithmetic
Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI, v. 2021-July, July 2021, p. 218-223
Wong, Yuk; Dong, Zhenjiang; Zhang, Wei





Article 7

BBB-CFI: Lightweight CFI Approach Against Code-Reuse Attacks Using Basic Block Information
ACM Transactions on Embedded Computing Systems, v. 19, (1), February 2020, article number 7
He, Wenjian; Das, Sanjeev; Zhang, Wei; Liu, Yang
Energy Minimization for Multicore Platforms Through DVFS and VR Phase Scaling With Comprehensive Convex Model
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 39, (3), March 2020, p. 686-699
Zhu, Zuomin; Zhang, Wei; Chaturvedi, Vivek; Singh, Amit Kumar
Guest Editorial: ACM JETC Special Issue on New Trends in Nanolectronic Device, Circuit, and Architecture Design: Part 2
ACM Journal on Emerging Technologies in Computing Systems, v. 16, (4), October 2020, article number 35
Zhang, Wei; Li, Helen; Wen, Wujie; Bhunia, Swarup
Hard-ODT: Hardware-Friendly Online Decision Tree Learning Algorithm and System
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 40, (11), November 2021, p. 2279-2292
Lin, Zhe; Sinha, Sharad; Zhang, Wei
Optimizing OpenCL-Based CNN Design on FPGA with Comprehensive Design Space Exploration and Collaborative Performance Modeling
ACM Transactions on Reconfigurable Technology and Systems, v. 13, (3), September 2020, article number 3397514
Mu, Jiandong; Zhang, Wei; Liang, Hao; Sinha, Sharad
Performance Modeling and Directives Optimization for High Level Synthesis on FPGA
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), v. 39, (7), July 2020, article number 8695879, p. 1428-1441
Zhao, Jieru; Feng, Liang; Sinha, Sharad; Zhang, Wei; Liang, Yun; He, Bingsheng
Towards High Performance Low Bitwidth Training for Deep Neural Networks
Journal of Semiconductors, v. 41, (2), February 2020, article number 022404
Su, Chunyou; Zhou, Sheng; Feng, Liang; Zhang, Wei

Conference paper 7

A history-based auto-tuning framework for fast and high-performance DNN design on GPU
Proceedings - Design Automation Conference, v. 2020-July, July 2020, article number 9218700
Mu, Jiandong; Wang, Mengdi; Li, Lanbo; Yang, Jun; Lin, Wei; Zhang, Wei
Boyi: A systematic framework for automatically deciding the right execution model of OpenCL applications on FPGAs
FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays / Association for Computing Machinery, Inc. New York : Association for Computing Machinery, Inc, 2020, p. 299-309
Jiang, Jiantong; Wang, Zeke; Liu, Xue; Gómez-Luna, Juan; Guan, Nan; Deng, Qingxu; Zhang, Wei; Mutlu, Onur
FP-Stereo: Hardware-Efficient Stereo Vision for Embedded Applications
2020 30th International Conference on Field-Programmable Logic and Applications (FPL) / IEEE. Piscataway, NJ : IEEE, 2020, p. 269-276
Zhao, Jieru; Liang, Tingyuan; Feng, Liang; Ding, Wenchao; Sinha, Sharad; Zhang, Wei; Shen, Shaojie
HL-Pow: A Learning-Based Power Modeling Framework for High-Level Synthesis
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2020-January, January 2020, article number 9045442, p. 574-580
Lin, Zhe; Zhao, Jieru; Sinha, Sharad; Zhang, Wei
iGPU Leak: An Information Leakage Vulnerability on Intel Integrated GPU
ASP-DAS 2020 25th Asia and South Pacific Design Automation Conference: Proceedings / IEEE. Piscataway, New Jersey : IEEE, 2020, p. 56-61, Article number 9045745
He, Wenjian; Zhang, Wei; Sinha, Sharad; Das, Sanjeev
NCPower: Power Modelling for NVM-based Neuromorphic Chip
ACM International Conference Proceeding Series, July 2020, article number 15, p. 1-7
Wang, Zhehui; Zhang, Huaipeng; Luo, Tao; Wong, Wengfai; Do, Anhtuan; Vishnu, Paramasivam; Zhang, Wei; Goh, Ricksiow
Real-Time Detection and Tracking Using Hybrid DNNs and Space-Aware Color Feature: From Algorithm to System
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 12046 LNCS, 2020, p. 61-75
Feng, Liang; Igarashi, Hiroaki; Shibata, Seiya; Kobayashi, Yuki; Takenaka, Takashi; Zhang, Wei





Article 1

An Ensemble Learning Approach for In-Situ Monitoring of FPGA Dynamic Power
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 38, (9), September 2019, article number 8418725, p. 1661-1674
Lin, Zhe; Sinha, Sharad; Zhang, Wei

Conference paper 9

A Cost-Effective CNN Accelerator Design with Configurable PU on FPGA
Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI, July 2019, article number 8839437, p. 31-36
Fong, Chi Fung Brian; Mu, Jiandong; Zhang, Wei
A Hybrid Data-Consistent Framework for Link-Aware AccessManagement in Emerging CPU-FPGA Platforms
Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, v. 2019, February 2019, p. 188
Feng, Liang; Zhao, Jieru; Liang, Tingyuan; Sinha, Sharad; Zhang, Wei
Accelerate pattern recognition for cyber security analysis
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, New York, USA : Institute of Electrical and Electronics Engineers Inc., 2019, p. 23-24
Tahghighi, Mohammad; Zhang, Wei
Hi-clockflow: Multi-clock dataflow automation and throughput optimization in high-level synthesis
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, November 2019, article number 8942136
Liang, Tingyuan; Zhao, Jieru; Feng, Liang; Sinha, Sharad; Zhang, Wei
LAMA: Link-aware hybrid management for memory accesses in emerging CPU-FPGA platforms
Proceedings - Design Automation Conference, June 2019, article number a1
Feng, Liang; Zhao, Jieru; Liang, Tingyuan; Sinha, Sharad; Zhang, Wei
Machine Learning Based Routing Congestion Prediction in FPGA High-Level Synthesis
Proceedings of the 2019 Design, Automation and Test in Europe Conference and Exhibition, DATE 2019, May 2019, article number 8714724, p. 1130-1135
Zhao, Jieru; Liang, Tingyuan; Sinha, Sharad; Zhang, Wei
Poly: Efficient Heterogeneous System and Application Management for Interactive Applications
Proceedings - 25th IEEE International Symposium on High Performance Computer Architecture, HPCA 2019, March 2019, article number 8675214, p. 199-210
Wang, Shuo; Liang, Yun; Zhang, Wei
SGXlinger: A New Side-channel Attack Vector Based on Interrupt Latency against Enclave Execution
Proceedings - 2018 IEEE 36th International Conference on Computer Design, ICCD 2018, 16 January 2019, article number 8615675, p. 108-114
He, Wenjian; Zhang, Wei; Das, Sanjeev; Liu, Yang
Towards Efficient and Scalable Acceleration of Online Decision Tree Learning on FPGA
Proceedings of 27th IEEE International Symposium on Field-Programmable Custom Computing Machines, FCCM 2019, April 2019, article number 8735508, p. 172-180
Lin, Zhe; Sinha, Sharad; Zhang, Wei





Article 5

FIexCL: A Model of Performance and Power for OpenCL Workloads on FPGAs
IEEE Transactions on Computers, v. 67, (12), December 2018, article number 8365849, p. 1750-1764
Liang, Yun; Wang, Shuo; Zhang, Wei
Hi-DMM: high-performance dynamic memory management in high-level synthesis
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 37, (11), November 2018, article number 8493498, p. 2555-2566
Liang, Tingyuan; Zhao, Jieru; Feng, Liang; Sinha, Sharad; Zhang, Wei
Parallelizing Hardware Tasks on Multicontext FPGA With Efficient Placement and Scheduling Algorithms
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 37, (2), February 2018, p. 350-363
Liang, Hao; Sinha, Sharad; Zhang, Wei
ROPSentry: Runtime Defense Against ROP Attacks Using Hardware Performance Counters
Computers and Security, v. 73, Mar 2018, p. 374-388
Das, Sanjeev; Chen, Bihuan; Chandramohan, Mahintham; Liu, Yang; Zhang, Wei
Thermal-aware Task Mapping on Dynamically Reconfigurable Network-on-Chip based Multiprocessor System-on-Chip
IEEE Transactions on Computers, v. 67, (12), December 2018, article number 8373717, p. 1818-1834
Liu, Weichen; Yang, Lei; Jiang, Weiwen; Feng, Liang; Guan, Nan; Zhang, Wei; Dutt, Nikil

Conference paper 2

A collaborative framework for FPGA-based CNN design modeling and optimization
Proceedings - 2018 International Conference on Field-Programmable Logic and Applications, FPL 2018, 9 November 2018, article number 8533483, p. 139-146
Mu, Jiandong; Zhang, Wei; Liang, Hao; Sinha, Sharad
CAMAS: Static and Dynamic Hybrid Cache Management for CPU-FPGA Platforms
Proceedings - 26th IEEE International Symposium on Field-Programmable Custom Computing Machines, FCCM 2018, September 2018, article number 8457649, p. 165-172
Feng, Liang; Sinha, Sharad; Zhang, Wei; Liang, Yun





Article 9

A Highly Elastic and Flexible Solid-state Polymer Electrolyte Based on Ionic Liquid-decorated PMMA Nanoparticles for Lithium Batteries
New Journal of Chemistry, v. 41, (21), November 2017, p. 13096-13103
Li, Yang; Wong, Ka Wai; Dou, Qianqian; Zhang, Wei; Wang, Lixiang; Ng, Ka Ming
A Hybrid Logic Block Architecture in FPGA for Holistic Efficiency
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 64, (1), January 2017, article number 7448864, p. 71-75
Luo, Tao; Liang, Hao; Zhang, Wei; He, Bingsheng; Maskell, Douglas Leslie
A Variation-Aware Adaptive Fuzzy Control System for Thermal Management of Microprocessors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 25, (2), February 2017, article number 7548276, p. 683-695
Cui, Yingnan; Zhang, Wei; He, Bingsheng
Fracturable DSP Block for Multi-context Reconfigurable Architectures
Circuits, Systems, and Signal Processing, v. 36, (7), July 2017, p. 3020-3033
Warrier, Rakesh; Shreejith, Shanker; Zhang, Wei; Vun, Chan Hua; Fahmy, Suhaib A.
HeteroSim: A Heterogeneous CPU-FPGA Simulator
IEEE Computer Architecture Letters, v. 16, (1), January-June 2017, article number 7585071, p. 38-41
Feng, Liang; Liang, Hao; Sinha, Sharad; Zhang, Wei
Multikernel Data Partitioning With Channel on OpenCL-Based FPGAs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 25, (6), June 2017, p. 1906-1918
Wang, Zeke; Paul, Johns; He, Bingsheng; Zhang, Wei
Pipeline Reconfigurable DSP for Dynamically Reconfigurable Architectures
Circuits, Systems, and Signal Processing, v. 36, (9), September 2017, p. 3799-3824
Warrier, Rakesh; Zhang, Wei; Vun, Chan Hua
Scalable Light-Weight Integration of FPGA Based Accelerators with Chip Multi-Processors
IEEE Transactions on Multi-Scale Computing Systems, v. 4, (2), April 2018, p. 152-162
Lin, Zhe; Sinha, sharad; Liang, Hao; Feng, Liang; Zhang, Wei
XPG Genetic Polymorphisms and Clinical Outcome of Patients with Advanced Non-Small Cell Lung Cancer Under Platinum-Based Treatment: a Meta-Analysis of 12 Studies
Cancer Chemotherapy and Pharmacology, v. 79, (4), 1 April 2017, p. 791-800
Xiang, Tianxin; Kang, Xiuhua; Gong, Zhenghua; Bai, Wei; Chen, Chuanhui; Zhang, Wei

Book chapter 1

In-place logic obfuscation for emerging nonvolatile FPGAs
Fundamentals of IP and SoC Security: Design, Verification, and Debug / Edited by Bhunia, Swarup; Ray, Sandip; Sur-Kolay, Susmita. Cham, Switzerland : Springer, 2017, p. 277-293, Ch. 11
Chen, Yichung; Wang, Yandan; Zhang, Wei; Chen, Yiran; Li, Hai Helen

Conference paper 11

A Hybrid Approach to Cache Management in Heterogeneous CPU-FPGA Platforms
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, v. 2017-November, 13 December 2017, p. 937-944
Feng, Liang; Sinha, S.; Zhang, Wei; Liang, Y.
A Novel Two-stage Modular Multiplier Based on Racetrack Memory for Asymmetric Cryptography
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, v. 2017-November, December 2017, p. 276-282
Luo, Tao; He, Bingsheng; Zhang, Wei; Maskell, Douglas Leslie
COMBA: A Comprehensive Model-based Analysis Framework for High Level Synthesis of Real Applications
IEEE/ACM International Conference on Computer-Aided Design, v. 2017-November, December 2017, p. 430-437
Zhao, Jieru; Feng, Liang; Sinha, Sharad; Zhang, Wei; Liang, Yun; He, Bingsheng
Decision tree based hardware power monitoring for run time dynamic power management in FPGA
2017 27th International Conference on Field Programmable Logic and Applications (FPL), October 2017, article number 8056832
Lin, Zhe; Zhang, Wei; Sharad, Sinha
Dynamic Module Partitioning for Library Based Placement on Heterogeneous FPGAs
RTCSA 2017 - 23rd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, September 2017, article number 8046336
Mao, Fubing; Zhang, Wei; He, Bingsheng; Lam, Siew Kei
FlexCL: An Analytical Performance Model for OpenCL Workloads on Flexible FPGAs
DAC '17 Proceedings of the 54th Annual Design Automation Conference 2017, New York, NY, USA : ACM, 2017, Article No. 27
Wang, Shuo; Liang, Yun; Zhang, Wei
FP-DNN: An Automated Framework for Mapping Deep Neural Networks Onto FPGAs with RTL-HLS Hybrid Templates
Proceedings - IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines, June 2017, article number 7966671, p. 152-159
Guan, Yijin; Liang, Hao; Xu, Ningyi; Wang, Wenqiang; Shi, Shaoshuai; Chen, Xi; Sun, Guangyu; Zhang, Wei; Cong, Jason
No-Jump-into-Basic-Block: Enforce Basic Block CFI on the Fly for Real-world Binaries
Proceedings of the 54th Annual Design Automation Conference 2017, v. Part 128280, 2017, article number 23
He, Wenjian; Das, Sanjeev; Zhang, Wei; Yang, Liu
PAAS: A System Level Simulator For Heterogeneous Computing Architectures
2017 27th International Conference on Field Programmable Logic and Applications, FPL 2017, October 2017, article number 8056775
Liang, Tingyuan; Feng, Liang; Sinha, Sharad; Zhang, Wei
Sparse Singular Value Decomposition-based Feature Extraction for Identifying Differentially Expressed Genes
Proceedings - 2016 IEEE International Conference on Bioinformatics and Biomedicine, BIBM 2016, January 2017, article number 7822795, p. 1822-1827
Liu, Jin-Xing; Kong, Xiang-Zhen; Zheng, Chun-Hou; Shang, Jun-Liang; Zhang, Wei
Two-Stage Thermal-Aware Scheduling of Task Graphs on 3D Multi-Cores Exploiting Application and Architecture Characteristics
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, February 2017, article number 7858343, p. 324-329
Zhu, Zuomin; Chaturvedi, Vivek; Singh, Amit Kumar; Zhang, Wei; Cui, Yingnan





Article 9

A Fine-Grained Control Flow Integrity Approach Against Runtime Memory Attacks for Embedded Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (11), November 2016, article number 7464886, p. 3193-3207
Das, Sanjeev; Zhang, Wei; Liu, Yang
Cost-efficient Acceleration of Hardware Trojan Detection Through Fan-Out Cone Analysis and Weighted Random Pattern Technique
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 35, (5), May 2016, p. 792-805
Zhou, Bin; Zhang, Wei; Thambipillai, Srikanthan; Jin, Jason Teo Kian; Chaturvedi, Vivek; Luo, Tao
Decentralized Thermal-Aware Task Scheduling for Large-Scale Many-Core Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (6), June 2016, article number 7332784, p. 2075-2088
Cui, Yingnan; Zhang, Wei; Chaturvedi, Vivek; He, Bingsheng
Distributed Sensor Network-on-Chip for Performance Optimization of Soft-Error-Tolerant Multiprocessor System-on-Chip
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (4), April 2016, article number 7202910, p. 1546-1559
Liu, Weichen; Zhang, Wei; Wang, Xuan; Xu, Jiang
Library-Based Placement and Routing in FPGAs with Support of Partial Reconfiguration
ACM Transactions on Design Automation of Electronic Systems, v. 21, (4), May 2016, article number 71
Mao, Fubing; Chen, Yichung; Zhang, Wei; Li, Hai; He, Bingsheng
Low-Power FPGA Design Using Memoization-Based Approximate Computing
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (8), August 2016, article number 7412762, p. 2665-2678
Sinha, Sharad; Zhang, Wei
Melia: A MapReduce Framework on OpenCL-Based FPGAs
IEEE Transactions on Parallel and Distributed Systems, v. 27, (12), December 2016, article number 7425227, p. 3547-3560
Wang, Zeke; Zhang, Shuhao; He, Bingsheng; Zhang, Wei
Semantics-Based Online Malware Detection: Towards Efficient Real-Time Protection Against Malware
IEEE Transactions on Information Forensics and Security, v. 11, (2), February 2016, article number 7299317, p. 289-302
Das, Sanjeev; Liu, Yang; Zhang, Wei; Chandramohan, Mahintham
Thermal-Aware Task Scheduling for 3D-Network-on-Chip: A Bottom to Top Scheme
Journal of Circuits, Systems, and Computers, v. 25, (1), January 2016, article number 1640003
Cui, Yingnan; Zhang, Wei; Chaturvedi, Vivek; Liu, Weichen; He, Bingsheng

Conference paper 9

A Discrete Thermal Controller for Chip-Multiprocessors
Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016, article number 7459282, p. 67-72
Cui, Yingnan; Zhang, Wei; He, Bingsheng
A Performance Analysis Framework for Optimizing OpenCL Applications on FPGAs
roceedings - International Symposium on High-Performance Computer Architecture, 2016, article number 7446058, p. 114-125
Wang, Zeke; He, Bingsheng; Zhang, Wei; Jiang, Shunning
A Racetrack Memory Based In-memory Booth Multiplier for Cryptography Application
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 25-28-January-2016, March 2016, article number 7428025, p. 286-291
Luo, Tao; Zhang, Wei; He, Bingsheng; Maskell, Douglas
Analytical Delay Model for CPU-FPGA Data Paths in Programmable System-on-Chip FPGA
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 9625, 2016, p. 159-170
Tahghighi, Mohammad; Sinha, Sharad; Zhang, Wei
Area Efficient Hardware Architecture for Implicitly Defined Complex Events Processing
Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI, v. 2016-September, September 2016, article number 7560277, p. 667-672
Tahghighi, Mohammad; Zhang, Wei; Sinha, Sharad
HeteroSim: A Heterogeneous CPU-FPGA Simulator
26th International Conference on Field-Programmable Logic and Applications (FPL 2016), September 2016, article number 7577386
Feng, Liang; Liang, Hao; Sinha, Sharad; Zhang, Wei
Modular Placement for Interposer based Multi-FPGA Systems
Proceedings of the 26th Edition on Great Lakes Symposium on VLSI, 2016, p. 93-98
Mao, Fubing; Zhang, Wei; Feng, Bo; He, Bingsheng; Ma, Yuchun
Online Malware Defense Using Attack Behavior Model
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7527492, p. 1322-1325
Das, Sanjeev; Xiao, Hao; Liu, Yang; Zhang, Wei
Relational Query Processing on OpenCL-based FPGAs
FPL 2016 - 26th International Conference on Field-Programmable Logic and Applications, September 2016, article number 7577329
Wang, Zeke; Paul, Johns; Cheah, Huiyan; He, Bingsheng; Zhang, Wei





Article 4

Actively Alleviate Power-Gating-Induced Power/Ground Noise Using Parasitic Capacitance of On-Chip Memories in MPSoC
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (2), February 2015, article number 6767132, p. 266-279
Wang, Xuan; Xu, Jiang; Zhang, Wei; Wu, Xiaowen; Ye, Yaoyao; Wang, Zhehui; Nikdast, Mahdi; Wang, Zhe
Electro-kinetic phenomena in porous PET films filled with liquid crystals
Liquid Crystals, v. 42, (11), November 2015, p. 1537-1542
Pasechnik, Sergey V.; Chopik, A.P.; Shmeliova, Dina V.; Drovnikov, E.M.; Semerenko, Denis Alekseyevich; Dubtsov, Alexander V.; Zhang, Wei; Chigrinov, Vladimir Grigorievich
FDR 2.0: A Low-power Dynamically Reconfigurable Architecture and its FinFET Implementation
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (10), October 2015, p. 1987-2000
Lin, Ting-Jung; Zhang, Wei; Jha, Niraj, K.
Leveraging Hotspots and Improving Chip Reliability via Carbon Nanotube Grid Thermal Structure
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (4), April 2015, article number 6822625, p. 731-742
Liang, Hao; Zhang, Wei; Huang, Jiale; Yang, Shengqi; Gupta, Pallav

Conference paper 10

A Study of Data Partitioning on OpenCL-based FPGAS
25th International Conference on Field Programmable Logic and Applications, Institute of Electrical and Electronics Engineers (IEEE), 2015
Wang, Zeke; He, Bingsheng; Zhang, Wei
An Efficient Technique for Chip Temperature Optimization of Multiprocessor Systems in the Dark Silicon Era
2015 IEEE 17th International Conference on High Performance Computing and Communications (HPCC 2015), 2015 IEEE 7th International Symposium on Cyberspace Safety and Security (CSS 2015), and 2015 IEEE 12th International Conf on Embedded: Software and Systems (ICESS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 688-693
Li, Mengquan; Yi, Juan; Liu, Weichen; Zhang, Wei; Yang, Lei; Sha, Edwin Hsing Mean
Hierarchical library based power estimator for versatile FPGAs
2015 25th International Conference on Field Programmable Logic and Applications (FPL 2015), IEEE, 2015
Liang, Hao; Zhang, Wei; Sinha, Sharad; Chen, Yi-Chung; Li, Hai
Hierarchical Library Based Power Estimator for Versatile FPGAs
2015 IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC 2015), IEEE, 2016, p. 25-32
Liang, Hao; Chen, Yi-Chung; Luo, Tao; Zhang, Wei; Li, Hai; He, Bingsheng
Improving Data Partitioning Performance on OpenCL-based FPGAS
2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 34
Wang, Zeke; He, Bingsheng; Zhang, Wei
Static hardware task placement on multi-context FPGA using hybrid genetic algorithm
2015 25th International Conference on Field Programmable Logic and Applications (FPL 2015), IEEE, 2015
Liang, Hao; Sinha, Sharad; Warrier, Rakesh; Zhang, Wei
SynDFG: Synthetic Dataflow Graph Generator for High-level Synthesis
Proceedings of the Sixth Asia Symposium on Quality Electronic Design (ASQED 2015), The Institute of Electrical and Electronics Engineers, Inc., 2015, p. 50-55
Sinha, Sharad; Zhang, Wei
Thermal-aware Task Scheduling for 3D-Network-on-Chip: A bottom-to-Top Scheme
Proceedings of the 14th International Symposium on Integrated Circuits, ISIC 2014, February 2015, article number 7029547, p. 224-227
Cui, Yingnan; Zhang, Wei; Chaturvedi, Vivek; Liu, Weichen; He, Bingsheng
Traffic-aware Application Mapping for Network-on-chip Based Multiprocessor System-on-chip
Proceedings: 2015 IEEE 17th International Conference on High Performance Computing and Communications, 2015 IEEE 7th International Symposium on Cyberspace Safety and Security, 2015 IEEE 12th International Conferen on Embedded Software and Systems (HPCC-CSS-ICESS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 571-576
Yang, Lei; Liu, Weichen; Jiang, Weiwen; Zhang, Wei; Li, Mengquan; Yi, Juan; Liu, Duo; Sha, Edwin Hsing Mean
Two-Photon Excited Fluorescence Emission from Hemoglobin
SPIE Proceedings, v. 9329, 2015, article number 93290O
Sun, Qiqi; Zeng, Yan; Zhang, Wei; Zheng, Wei; Luo, Yi; Qu, Jianan





Article 3

A fine-grain dynamically reconfigurable architecture aimed at reducing the FPGA-ASIC gaps
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (12), 2014, article number 6729082, p. 2607-2620
Lin, Ting-Jung; Zhang, Wei; Jha, Niraj K.
Nonvolatile CBRAM-crossbar-based 3-D-integrated hybrid memory for data retention
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (5), 2014, article number 6549168, p. 957-970
Wang, Yuhao; Yu, Hao; Zhang, Wei
Systematic Analysis of Crosstalk Noise in Folded-Torus-Based Optical Networks-on-Chip
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 33, (3), March 2014, article number 6740052, p. 437-450
Nikdast, Mahdi; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Ye, Yaoyao; Wang, Xuan; Wang, Zhehui; Wang, Zhe

Conference paper 12

A Low Cost Acceleration Method for Hardware Trojan Detection Based on Fan-out Cone Analysis
Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, October 2014, article number 28
Zhou, Bin; Zhang, Wei; Thambipillai, Srikanthan; Teo, J.K.J.
A low-power pipelined MAC architecture using Baugh-Wooley based multiplier
2014 IEEE 3rd Global Conference on Consumer Electronics, GCCE 2014, February 2014, article number 7031169, p. 505-506
Warrier, Rakesh; Vun, Chan Hua; Zhang, Wei
An Extended Framework for Worst-case Throughput Analysis with Router Constraint
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS, February 2015, article number 7032875, p. 691-694
Mohan, Vineeth; Hsu, Wenjing; Zhang, Wei; Wu, Xiaowen
FPGA Based Control Flow Checking
51st Design Automation Conference, San Francisco, CA, USA, 1-5 June 2014
Das, Sanjeev; Zhang, Wei; Liu, Yang
Hierarchical Library-Based Power Estimator for Versatile FPGAs
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, New York, NY, USA : ACM, 2014, p. 243
Liang, Hao; Chen, Yi-Chung; Zhang, Wei; Li, Hai
Reconfigurable DSP Block Design for Dynamically Reconfigurable Architecture
Proceedings - 2014 IEEE International Symposium on Circuits and Systems, IEEE, 2014, p. 2551-2554
Warrier, Rakesh; Liang, Hao; Zhang, Wei
Reconfigurable Dynamic Trusted Platform Module for Control Flow Checking
Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI, September 2014, article number 6903354, p. 166-171
Das, Sanjeev; Zhang, Wei; Liu, Yang
Reconfigurable Dynamic Trusted Platform Module for Runtime Execution Monitoring
51st Design Automation Conference, San Francisco, CA, USA, 1-5 June 2014
Das, Sanjeev; Zhang, Wei; Liu, Yang
Soft Error Mitigation Through Selection of Non-invert Implication Paths
Proceedings of the 2014 NASA/ESA Conference on Adaptive Hardware and Systems, AHS 2014, 2014, article number 6880161, p. 77-82
Zhou, Bin; Thambipillai, Srikanthan; Zhang, Wei
Sum of products: Computation using modular thermometer codes
2014 International Symposium on Intelligent Signal Processing and Communication Systems, ISPACS 2014, January 2014, article number 7024441, p. 141-146
Vun, Nicholas C. Hua; Premkumar, Annamalai Benjamin; Zhang, Wei
Thermal-Aware Task Scheduling for Peak Temperature Minimization under Periodic Constraint for 3D-MPSoCs
2014 25th IEEE International Symposium on Rapid System Prototyping (RSP 2014), Institute of Electrical and Electronics Engineers (IEEE), 2014, p. 107-113
Chaturvedi, Vivek; Singhy, Amit Kumar; Zhang, Wei; Srikanthan, Thambipillai
Towards Automatic Partial Reconfiguration in FPGAs
Proceedings of the 2014 International Conference on Field-Programmable Technology, FPT 2014, 2014, article number 7082798, p. 286-287
Mao, Fubing; Zhang, Wei; He, Bingsheng





Article 3

A New RNS based DA Approach For Inner Product Computation
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 60, (8), 2013, article number 6423225, p. 2139-2152
Vun, Chan Hua; Premkumar, Annamalai Benjamin; Zhang, Wei
Formal Worst-Case Analysis of Crosstalk Noise in Mesh-Based Optical Networks-on-Chip
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 21, (10), October 2013, article number 6352932, p. 1823-1836
Xie, Yiyuan; Nikdast, Mahdi; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Ye, Yaoyao; Wang, Xuan; Wang, Zhehui; Liu, Weichen
NBTI Aware Circuit Node Criticality Computation
ACM Journal on Emerging Technologies in Computing Systems, v. 9, (3), 2013, article number 23
Yang, Shengqi; Wang, Wenping; Hagan, Mark; Zhang, Wei; Gupta, Pallav; Cao, Yu

Conference paper 5

A Hardware Security Scheme for RRAM-based FPGA
2013 23rd International Conference on Field Programmable Logic and Applications (FPL 2013), Institute of Electrical and Electronics Engineers (IEEE), 2013
Chen, Yi-Chung; Zhang, Wei; Li, Hai
A Network-on-Chip Benchmark Suite Based on Real Applications
4th Workshop on SoCs, Heterogeneous Architectures and Workloads, Shenzhen, China, 24 February 2013
Liu, Weichen; Wang, Zhe; Wu, Xiaowen; Xu, Jiang; Li, Bin; Zhang, Wei; Ye, Yaoyao; Wang, Zhehui; Nikdast, Mahdi
Active Power-Gating-Induced Power/Ground Noise Alleviation Using Parasitic Capacitance of On-Chip Memories
Proceedings -Design, Automation and Test in Europe, v. 2013, May 2013, article number 6513699, p. 1221-1224
Wang, Xuan; Xu, Jiang; Zhang, Wei; Wu, Xiaowen; Ye, Yaoyao; Wang, Zhehui; Nikdast, Mahdi; Wang, Zhe
Digital-Assisted Noise Eliminating Training for Memristor Crossbar-based Analog Neuromorphic Computing Engine
Proceedings of the 50th Annual Design Automation Conference, New York, NY, USA : ACM, 2013
Liu, Beiye; Hu, Miao; Li, Hai; Mao, Zhi Hong; Chen, Yiran; Huang, Tingwen; Zhang, Wei
Thermal Simulator of 3D-IC with Modeling of Anisotropic TSV Conductance and Microchannel Entrance Effects
2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC 2013), IEEE, 2013, p. 485-490
Qian, Hanhua; Liang, Hao; Chang, Chip-Hong; Zhang, Wei; Yu, Hao





Article 5

A Nano-Electro-Mechanical-Switch based Thermal Management for 3D Integrated Many-core Memory-Processor System
IEEE Transactions on Nanotechnology (TNANO),, v.11, No.3, pp.588-600, 2012
Huang, Xiwei; Zhang, Chun; Yu, Hao; Zhang, Wei
A Physical Design Tool for Carbon Nanotube Field-Effect Transistor Circuits
ACM Journal on Emerging Technologies in Computing Systems (JETC), v. 8, No. 3, Article 25, 2012
Huang, Jiale; Zhu, Minhao; Yang, Shengqi; Gupta, Pallav; Zhang, Wei; Rubin, Steven M.; Garreton, Gila; He, Jin
Design Exploration of Hybrid CMOS and Memristor Circuit by New Modified Nodal Analysis
IEEE Transactions on Very Large Scale Integration Systems (TVLSI),, v. 20, Issue 6, 2012, Article number 5762650, p. 1012-1025
Fei, Wei; Yu, Hao; Zhang, Wei; Yeo, Kiat Seng
SRAM-based NATURE: A synamically reconfigurable FPGA based on 10T low-power SRAMs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 20, Issue 11, 2012, Article number 6060944, p. 2151-2156
Lin, Tingjung; Zhang, Wei; Jha, Niraj K.
The 3D Stacking Bipolar RRAM for High Density
IEEE Transaction on Nanotechnology (TNANO), v. 11, (5), 2012, article number 6241434, p. 945-956
Chen, Yi-Chung; Li, Hai; Zhang, Wei; Pino, Robinson E.

Book chapter 1

FONoC: a Fat Tree Based Optical Network-on-Chip for Multiprocessor System-on-Chip
Integrated Optical Interconnect Architectures for Embedded Systems / Editor: Ian O'Connor, Gabriela Nicolescu. New York, NY : Springer, 2012, p. 137-152
Xu, Jiang; Gu, Huaxi; Zhang, Wei; Liu, Weichen

Conference paper 14

A Look Up Table Design with 3D Bipolar RRAMs
17TH IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), Sydney, AUSTRALIA, 30 Jan- 2 Feb 2012
Chen, Y, C.; Li, H.; Zhang, Wei
A Novel Low-Waveguide-Crossing Floorplan for Fat Tree Based Optical Networks-on-Chip
2012 Optical Interconnects Conference, OIC 2012 / IEEE. New York, NY, USA : IEEE, 2012, p. 100-101, Article no.: 6224427
Wang, Zhehui; Xu, Jiang; Wu, Xiaowen; Ye, Yaoyao; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan; Wang, Zhe
A novel peripheral circuit for RRAM-based LUT
2012 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS 2012), IEEE International Symposium on Circuits and Systems, Seoul; South Korea; 20 - 23 May 2012, 2012, Article number 6271619,p. 1811-1814
Chen, Yi-Chung; Li, Hai (Helen); Zhang, Wei
A RRAM-based Memory System and Applications
Non-Volatile Memories Workshop, 2012
Chen, Y.C.; Zhang, Wei; Li, H.
A Thermal and Process Variation Aware MTJ Switching Model and Its Applications in Soft Error Analysis
International Conference on Computer Aided Design (ICCAD), San Jose, CA, United States, Nov 2012
Wang, P, Y.; Zhang, Wei; Joshi, R.; Kanj, R.; Chen, Y.
An Efficient Soft Error Protection Scheme for MPSoC and FPGA-based Verification
6th IEEE International Conference on Anti-Counterfeiting, Security and Identification (ASID), Taipei, Taiwan, 24-26 August 2012
Zhang, Wei; Liu, W.; Mao, F.
Decentralized Agent Based Re-Clustering for Task Mapping of Tera-Scale Network-on-Chip System
IEEE International Symposium on Circuit and System (ISCAS), Seoul, SOUTH KOREA, 20-23 May 2012
Cui, Yingnan; Zhang, Wei; Yu, Hao
Design of low power 3D hybrid memory by non-volatile CBRAM-crossbar with block-level data-retention
IEEE International Symposium on Low Power Electronics and Design (ISLPED), , Redondo Beach, CA, United States, 30 July - 1 Aug 2012
Zhang, Wei; Yu, H.; Wang, Y.; Zhang, C.
Distributed Thermal-Aware Task Scheduling for 3D Network-on-Chip
30TH International Conference on Computer Design (ICCD), Concordia Univ, Montreal, CANADA, 30 Sep-3 Oct 2012
Cui, Y.; Zhang, Wei; Yu, H.
Fine-grained Dynamic Voltage Scaling on OLED Display
IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), Sydney, AUSTRALIA, 30 Jan - 2 Feb 2012
Chen, X.; Zheng, J.; Chen, Y.; Li, H.; Zhang, Wei; Liao, S, W.; Wang, J.
Holistic Comparison of Optical Routers for Chip Multiprocessors
Proceedings of the International Conference on Anti-Counterfeiting, Security and Identification, ASID, article number 6325348
Ye, Yaoyao; Wu, Xiaowen; Xu, Jiang; Zhang, Wei; Nikdast, Mahdi; Wang, Xuan
Non-volatile 3D stacking RRAM-based FPGA
22nd International Conference on Field Programmable Logic and Applications (FPL), Oslo, Norway, 29-31 Augt 2012
Chen, Y.C.; Zhang, Wei; Li, H.
Thermal analysis for 3D optical network-on-chip based on a novel low-cost 6x6 optical router
2012 Optical Interconnects Conference, Santa Fe, USA, 20-23 May 2012
Ye, Yaoyao; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan; Wang, Zhehui; Wang, Zhe
uBRAM-based Run-time Reconfigurable FPGA and Corresponding Reconfiguration Methodology
International Conference on Field Programmable Technology (FPT), Seoul, South Korea, Dec 2012
Zhang, Wei; Chen, Y.C.; Li, H.





Article 2

Coroutine-based synthesis of efficient embedded software from SystemC models
IEEE embedded systems letters, v. 3, (1), March 2011, p. 46-49
Liu, Weichen; Xu, Jiang; Muppala, Jogesh; Zhang, Wei; Wu, Xiaowen; Ye, Yaoyao
SRAM-based NATURE: A Dynamically Reconfigurable FPGA Based on 10T Low-power SRAMs
IEEE Transactions on Very Large Scale Integration Systems (TVLSI), v. 20, (11), 2012, p. 2151-2156
Lin, Ting-Jung; Zhang, Wei; Jha, Niraj K.

Book chapter 2

A Hybrid Nano/CMOS Dynamically Reconfigurable System
Nanoelectronic Circuit Design / Zhang, Wei, Jha, Niraj, K, Shang, Li. Berlin: Springer, pp 97-151, 2011
Zhang, Wei; Jha, Niraj, K.; Shang, Li
Power Dissipation
Low-Power Variation-Tolerant Design in Nanometer Silicon / Liang, Hao, Huang, Jiale, Zhang, Wei. Berlin: Springer, 2011, p. 41-80
Liang, Hao; Huang, Jiale; Zhang, Wei

Conference paper 6

3D-HIM: A 3D High-density interleaved memory for bipolar RRAM design
Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH, 2011 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2011; San Diego, CA; United States; 8 June - 9 June 2011, 2011, Article number 5941484, p. 59-64
Chen, YiChung; Li, Hai; Zhang, Wei; Pino, Robinson E.
A NoC traffic suite based on real applications
Proceedings - 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, August 2011, article number 5992461, p. 66-71
Liu, Weichen; Xu, Jiang; Wu, Xiaowen; Ye, Yaoyao; Wang, Xuan; Zhang, Wei; Nikdast, Mahdi; Wang, Zhehui
An HQV-approved edge directed interpolation algorithm for de-interlacing
54th IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), Yonsei Univ, Seoul, SOUTH KOREA, 7-10 Aug 2011
Yang, S.; Zhang, Wei; Zou, J.
Case study: Alleviating hotspots and improving chip reliability via carbon nanotube thermal interface.
IEEE Design Automation and Testing in Europe, Grenoble, France, 14-18, March, 2011, Article number 5763176, p. 1071-1076
Zhang, Wei; Huang, Jiale; Yang, Shengqi; Gupta, Pallav
Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip
Proceedings - 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, August 2011, article number 5992489, p. 254-259
Ye, Yaoyao; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Wang, Xuan; Nikdast, Mahdi; Wang, Zhehui; Liu, Weichen
NEMS based Thermal Management for 3D Many-core System
IEEE International Symposium on Nanoscale Architectures (NanoArch), June 2011, Article number 5941507, p. 218-223.
Huang, Xiwei; Yu, Hao; Zhang, Wei





Article 1

Low-Power 3D Nano/CMOS Hybrid Dynamically Reconfigurable Architecture
ACM Journal on Emerging Technologies in Computing Systems, v. 6, Issue 3, August 2010, Article number 10
Zhang, Wei; Shang, Li; Jha, Niraj K.

Conference paper 5

A hardware-software collaborated method for soft-error tolerant MPSoC
Proceedings of 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, 2011, article number 5992490, p. 260-265
Liu, Weichen; Xu, Jiang; Wang, Xuan; Wang, Yu; Zhang, Wei; Ye, Yaoyao; Wu, Xiaowen; Nikdast, Mahdi; Wang, Zhehui
A Hierarchical Hybrid Optical-Electronic Network-on-Chip
Proceedings - IEEE Annual Symposium on VLSI, ISVLSI 2010, 2010, p. 327-332
Mo, Kun Wang; Ye, Yaoyao; Wu, Xiaowen; Zhang, Wei; Liu, Weichen; Xu, Jiang
A Unified Inter/Intra-chip Optical Interconnect Network
IEEE/ACM International Symposium on Nanoscale Architectures (NanoArch), San Francisco, June 2010
Wu, Xiaowen; Ye, Yaoyao; Zhang, Wei; Liu, Weichen
Crosstalk noise and bit error rate analysis for optical network-on-chip
Proceedings - Design Automation Conference, June 2010, p. 657-660
Xie, Yiyuan; Nikdast, Mahdi; Xu,Jiang; Zhang, Wei; Li, Qi; Wu, Xiaowen; Ye, Yaoyao; Wang, Xuan; Liu, Weichen
UNION: A Unified Inter/Intra-Chip Optical Network for chip multiprocessors
Proceedings of the 6th IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH, Anaheim, California, 17-18 Jun 2010, p. 35-40
Wu, Xiaowen; Ye, Yaoyao; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan; Xu, Jiang





Article 4

A Hybrid Nano/CMOS Dynamically Reconfigurable System – Part I: Architecture
ACM Journal on Emerging Technologies in Computing Systems, v. 5, Issue 4, 2009, p.1-30
Zhang, Wei; Jha, Niraj K.; Shang, Li
A Hybrid Nano/CMOS Dynamically Reconfigurable System – Part II: Design Optimization Flow
ACM Journal on Emerging Technologies in Computing Systems (JETC), v. 5, No. 3, Article 13, August 2009
Zhang, Wei; Shang, Li; Jha, Niraj K.
Design Space Exploration and Data Memory Architecture Design for a Hybrid Nano/CMOS Dynamically Reconfigurable Architecture
ACM Journal on Emerging Technologies in Computing Systems (JETC), v. 5, (4), Article 17, November 2009
Zhang, Wei; Jha, Niraj K.; Shang, Li
Double-Data-Rate, Wave-Pipelined Interconnect for Asynchronous NoCs
IEEE Micro, v. 29, (3), May-June 2009, p. 20-30
Xu, Jiang; Wolf, Wayne; Zhang, Wei

Conference paper 2

A Low-Power Fat Tree-based Optical Network-on-Chip for Multiprocessor System-on-Chip
Proceedings -Design, Automation and Test in Europe, DATE, June 2009, article number 5090624, p. 3-8
Gu, Huaxi; Xu, Jiang; Zhang, Wei
A Low-power Low-cost Optical Router for Optical Networks-on-Chip in Multiprocessor Systems-on-Chip
Proceedings of the 2009 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2009, June 2009, article number 5076377, p. 19-24
Gu, Huaxi; Mo, Kwai Hung Morton; Xu, Jiang; Zhang, Wei





Conference paper 1

Design ASNoC for Low-Power SoCs
2008 International SoC Design Conference, ISOCC 2008, v. 1, April 2009, article number 4815587, p. 117-120
Xu, Jiang; Zhang, Wei; Mo, Kwai Hung Morton; Shao, Zili





Conference paper 1

NanoMap: An Integrated Design Optimization Flow for a Hybrid Nanotube/CMOS Dynamically Reconfigurable Architecture
Proceedings - Design Automation Conference 2007, 2007, p. 300-305, Article number 4261195
Zhang, Wei; Shang, Li; Jha, Niraj K.





Conference paper 1

NATURE: A Hybrid Nanotube/CMOS Dynamically Reconfigurable Architecture
IEEE Design Automation Conference (DAC), June 2006, p. 711-716
Zhang,Wei; Jha, Niraj K.; Shang, Li





Article 3

6 nm half-pitch lines and 0.04 νm 2 static random access memory patterns by nanoimprint lithography
Nanotechnology, v. 16, Issue 8, 1 August 2005, p. 1058-1061
Austin, Michael D.; Zhang, Wei; Ge, Haixiong; Wasserman, Daniel M.; Lyon, Stephen A.; Chou, Stephen
Electrostatic force-assisted nanoimprint lithography
Nano Letters, v. 5, Issue 3, March 2005, p. 527-530
Liang, Xiaogan; Zhang, Wei; Li, Mingtao; Xia, Qiangfei; Wu, Wei; Ge, Haixiong; Huang, Xinyu; Chou, Stephen
High-performance nanowire-grid polarizers
Optics Letters, v. 30, Issue 2, 15 January 2005, p. 195-197
Wang, Jianjim; Zhang, Wei; Deng, Xuegong; Deng, Jiandong; Liu, Feng; Sciortino, Paul F.; Chen, Lei

Conference paper 1

ALLCN: An Automatic Logic-to-Layout Tool for Carbon Nanotube Based Nanotechnology
Proceedings - IEEE International Conference on Computer Design (ICCD): VLSI in Computers and Processors, v. 2005, Article number 1524166, Pages 281-288
Zhang, Wei; Jha, Niraj K.





Article 2

Fabrication of 60-nm transistors on 4-in. wafer using nanoimprint at all lithography levels
Applied Physics Letters, v. 83, Issue 8, 25 August 2003, p. 1632-1634
Zhang, Wei; Chou, Stephen
Pattern transfer fidelity of nanoimprint lithography on six-inch wafers
Nanotechnology, v. 14, Issue 1, January 2003, p. 33-36
Li, Mingtao; Chen, Lei; Zhang, Wei; Chou, Stephen

Conference paper 2

Electrically Tunable Free-Space Sub-Wavelength Grating Filters with 30nm Tuning Range
Optical Fiber Communication Conference (OFC), Conference on Optical Fiber Communication, Technical Digest Series, v. 86, 2003, p. 31-32
Wang, Jian; Chen, Lei; Sciortino, Paul F.; Deng, Xuegong; Liu, Feng; Zhang, Wei; Park, Y.
Precision nano-optical waveplates
OSA Trends in Optics and Photonics Series, Conference on Lasers and Electro-Optics (CLEO); Postconference Digest; Baltimore, MD; United States; 1 - 6 June 2003, v. 88, 2003, p. 993-995
Wang, Jianjim; Zhang, Wei; Tseng, Betty; Nikolov, Anguel S.; Deng, Xuegong; Liu, Feng; Gan, Dong; Niu, Xinwei; Chen, Lei; Sciortino, Paul F.; Kostal, Hubert





Conference paper 1

Application of Optimization Methods to Crack Profile Inversion Using Eddy-Current Data
Review of Progress in Quantitative Nondestructive Evaluation, July 2002
Bowler, John; Zhang, Wei; Dogand?i?, Alexander





Article 1

Multilevel nanoimprint lithography with submicron alignment over 4 in. Si wafers
Applied Physics Letters, v. 79, Issue 6, 6 August 2001, p. 845-847
Zhang, Wei; Chou, Stephen





Article 1

Positioning of self-assembled, single-crystal, germanium islands by silicon nanoimprinting
Applied Physics Letters, v. 74, Issue 12, 22 March 1999, p. 1773-1775
Kamins, Theodore I. Ted; Ohlberg, Douglas A.A.; Williams, Stanley Stanley; Zhang, Wei; Chou, Stephen





Article 2

Large area high density quantized magnetic disks fabricated using nanoimprint lithography
Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, v. 16, Issue 6, November 1998, p. 3825-3829
Wu, Wei; Cui, Bo; Sun, Xiaoyun; Zhang, Wei; Zhuang, Lei; Kong, Linshu; Chou, Stephen
Multilayer resist methods for nanoimprint lithography on nonflat surfaces
Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures,42nd International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication (EIPBN) CHICAGO, ILLINOIS, 26-29, May 1998, v. 16, Issue 6, November 1998, p. 3922-3925
Sun, Xiaoyun; Zhuang, Lei; Zhang, Wei; Chou, Stephen





2016 18

A Fine-Grained Control Flow Integrity Approach Against Runtime Memory Attacks for Embedded Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (11), November 2016, article number 7464886, p. 3193-3207
Das, Sanjeev; Zhang, Wei; Liu, Yang Article
Cost-efficient Acceleration of Hardware Trojan Detection Through Fan-Out Cone Analysis and Weighted Random Pattern Technique
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 35, (5), May 2016, p. 792-805
Zhou, Bin; Zhang, Wei; Thambipillai, Srikanthan; Jin, Jason Teo Kian; Chaturvedi, Vivek; Luo, Tao Article
Decentralized Thermal-Aware Task Scheduling for Large-Scale Many-Core Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (6), June 2016, article number 7332784, p. 2075-2088
Cui, Yingnan; Zhang, Wei; Chaturvedi, Vivek; He, Bingsheng Article
Distributed Sensor Network-on-Chip for Performance Optimization of Soft-Error-Tolerant Multiprocessor System-on-Chip
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (4), April 2016, article number 7202910, p. 1546-1559
Liu, Weichen; Zhang, Wei; Wang, Xuan; Xu, Jiang Article
Library-Based Placement and Routing in FPGAs with Support of Partial Reconfiguration
ACM Transactions on Design Automation of Electronic Systems, v. 21, (4), May 2016, article number 71
Mao, Fubing; Chen, Yichung; Zhang, Wei; Li, Hai; He, Bingsheng Article
Low-Power FPGA Design Using Memoization-Based Approximate Computing
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 24, (8), August 2016, article number 7412762, p. 2665-2678
Sinha, Sharad; Zhang, Wei Article
Melia: A MapReduce Framework on OpenCL-Based FPGAs
IEEE Transactions on Parallel and Distributed Systems, v. 27, (12), December 2016, article number 7425227, p. 3547-3560
Wang, Zeke; Zhang, Shuhao; He, Bingsheng; Zhang, Wei Article
Semantics-Based Online Malware Detection: Towards Efficient Real-Time Protection Against Malware
IEEE Transactions on Information Forensics and Security, v. 11, (2), February 2016, article number 7299317, p. 289-302
Das, Sanjeev; Liu, Yang; Zhang, Wei; Chandramohan, Mahintham Article
Thermal-Aware Task Scheduling for 3D-Network-on-Chip: A Bottom to Top Scheme
Journal of Circuits, Systems, and Computers, v. 25, (1), January 2016, article number 1640003
Cui, Yingnan; Zhang, Wei; Chaturvedi, Vivek; Liu, Weichen; He, Bingsheng Article
A Discrete Thermal Controller for Chip-Multiprocessors
Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016, article number 7459282, p. 67-72
Cui, Yingnan; Zhang, Wei; He, Bingsheng Conference paper
A Performance Analysis Framework for Optimizing OpenCL Applications on FPGAs
roceedings - International Symposium on High-Performance Computer Architecture, 2016, article number 7446058, p. 114-125
Wang, Zeke; He, Bingsheng; Zhang, Wei; Jiang, Shunning Conference paper
A Racetrack Memory Based In-memory Booth Multiplier for Cryptography Application
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 25-28-January-2016, March 2016, article number 7428025, p. 286-291
Luo, Tao; Zhang, Wei; He, Bingsheng; Maskell, Douglas Conference paper
Analytical Delay Model for CPU-FPGA Data Paths in Programmable System-on-Chip FPGA
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 9625, 2016, p. 159-170
Tahghighi, Mohammad; Sinha, Sharad; Zhang, Wei Conference paper
Area Efficient Hardware Architecture for Implicitly Defined Complex Events Processing
Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI, v. 2016-September, September 2016, article number 7560277, p. 667-672
Tahghighi, Mohammad; Zhang, Wei; Sinha, Sharad Conference paper
HeteroSim: A Heterogeneous CPU-FPGA Simulator
26th International Conference on Field-Programmable Logic and Applications (FPL 2016), September 2016, article number 7577386
Feng, Liang; Liang, Hao; Sinha, Sharad; Zhang, Wei Conference paper
Modular Placement for Interposer based Multi-FPGA Systems
Proceedings of the 26th Edition on Great Lakes Symposium on VLSI, 2016, p. 93-98
Mao, Fubing; Zhang, Wei; Feng, Bo; He, Bingsheng; Ma, Yuchun Conference paper
Online Malware Defense Using Attack Behavior Model
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7527492, p. 1322-1325
Das, Sanjeev; Xiao, Hao; Liu, Yang; Zhang, Wei Conference paper
Relational Query Processing on OpenCL-based FPGAs
FPL 2016 - 26th International Conference on Field-Programmable Logic and Applications, September 2016, article number 7577329
Wang, Zeke; Paul, Johns; Cheah, Huiyan; He, Bingsheng; Zhang, Wei Conference paper

2015 14

Actively Alleviate Power-Gating-Induced Power/Ground Noise Using Parasitic Capacitance of On-Chip Memories in MPSoC
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (2), February 2015, article number 6767132, p. 266-279
Wang, Xuan; Xu, Jiang; Zhang, Wei; Wu, Xiaowen; Ye, Yaoyao; Wang, Zhehui; Nikdast, Mahdi; Wang, Zhe Article
Electro-kinetic phenomena in porous PET films filled with liquid crystals
Liquid Crystals, v. 42, (11), November 2015, p. 1537-1542
Pasechnik, Sergey V.; Chopik, A.P.; Shmeliova, Dina V.; Drovnikov, E.M.; Semerenko, Denis Alekseyevich; Dubtsov, Alexander V.; Zhang, Wei; Chigrinov, Vladimir Grigorievich Article
FDR 2.0: A Low-power Dynamically Reconfigurable Architecture and its FinFET Implementation
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (10), October 2015, p. 1987-2000
Lin, Ting-Jung; Zhang, Wei; Jha, Niraj, K. Article
Leveraging Hotspots and Improving Chip Reliability via Carbon Nanotube Grid Thermal Structure
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (4), April 2015, article number 6822625, p. 731-742
Liang, Hao; Zhang, Wei; Huang, Jiale; Yang, Shengqi; Gupta, Pallav Article
A Study of Data Partitioning on OpenCL-based FPGAS
25th International Conference on Field Programmable Logic and Applications, Institute of Electrical and Electronics Engineers (IEEE), 2015
Wang, Zeke; He, Bingsheng; Zhang, Wei Conference paper
An Efficient Technique for Chip Temperature Optimization of Multiprocessor Systems in the Dark Silicon Era
2015 IEEE 17th International Conference on High Performance Computing and Communications (HPCC 2015), 2015 IEEE 7th International Symposium on Cyberspace Safety and Security (CSS 2015), and 2015 IEEE 12th International Conf on Embedded: Software and Systems (ICESS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 688-693
Li, Mengquan; Yi, Juan; Liu, Weichen; Zhang, Wei; Yang, Lei; Sha, Edwin Hsing Mean Conference paper
Hierarchical library based power estimator for versatile FPGAs
2015 25th International Conference on Field Programmable Logic and Applications (FPL 2015), IEEE, 2015
Liang, Hao; Zhang, Wei; Sinha, Sharad; Chen, Yi-Chung; Li, Hai Conference paper
Hierarchical Library Based Power Estimator for Versatile FPGAs
2015 IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC 2015), IEEE, 2016, p. 25-32
Liang, Hao; Chen, Yi-Chung; Luo, Tao; Zhang, Wei; Li, Hai; He, Bingsheng Conference paper
Improving Data Partitioning Performance on OpenCL-based FPGAS
2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 34
Wang, Zeke; He, Bingsheng; Zhang, Wei Conference paper
Static hardware task placement on multi-context FPGA using hybrid genetic algorithm
2015 25th International Conference on Field Programmable Logic and Applications (FPL 2015), IEEE, 2015
Liang, Hao; Sinha, Sharad; Warrier, Rakesh; Zhang, Wei Conference paper
SynDFG: Synthetic Dataflow Graph Generator for High-level Synthesis
Proceedings of the Sixth Asia Symposium on Quality Electronic Design (ASQED 2015), The Institute of Electrical and Electronics Engineers, Inc., 2015, p. 50-55
Sinha, Sharad; Zhang, Wei Conference paper
Thermal-aware Task Scheduling for 3D-Network-on-Chip: A bottom-to-Top Scheme
Proceedings of the 14th International Symposium on Integrated Circuits, ISIC 2014, February 2015, article number 7029547, p. 224-227
Cui, Yingnan; Zhang, Wei; Chaturvedi, Vivek; Liu, Weichen; He, Bingsheng Conference paper
Traffic-aware Application Mapping for Network-on-chip Based Multiprocessor System-on-chip
Proceedings: 2015 IEEE 17th International Conference on High Performance Computing and Communications, 2015 IEEE 7th International Symposium on Cyberspace Safety and Security, 2015 IEEE 12th International Conferen on Embedded Software and Systems (HPCC-CSS-ICESS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 571-576
Yang, Lei; Liu, Weichen; Jiang, Weiwen; Zhang, Wei; Li, Mengquan; Yi, Juan; Liu, Duo; Sha, Edwin Hsing Mean Conference paper
Two-Photon Excited Fluorescence Emission from Hemoglobin
SPIE Proceedings, v. 9329, 2015, article number 93290O
Sun, Qiqi; Zeng, Yan; Zhang, Wei; Zheng, Wei; Luo, Yi; Qu, Jianan Conference paper

2014 15

A fine-grain dynamically reconfigurable architecture aimed at reducing the FPGA-ASIC gaps
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (12), 2014, article number 6729082, p. 2607-2620
Lin, Ting-Jung; Zhang, Wei; Jha, Niraj K. Article
Nonvolatile CBRAM-crossbar-based 3-D-integrated hybrid memory for data retention
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (5), 2014, article number 6549168, p. 957-970
Wang, Yuhao; Yu, Hao; Zhang, Wei Article
Systematic Analysis of Crosstalk Noise in Folded-Torus-Based Optical Networks-on-Chip
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 33, (3), March 2014, article number 6740052, p. 437-450
Nikdast, Mahdi; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Ye, Yaoyao; Wang, Xuan; Wang, Zhehui; Wang, Zhe Article
A Low Cost Acceleration Method for Hardware Trojan Detection Based on Fan-out Cone Analysis
Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, October 2014, article number 28
Zhou, Bin; Zhang, Wei; Thambipillai, Srikanthan; Teo, J.K.J. Conference paper
A low-power pipelined MAC architecture using Baugh-Wooley based multiplier
2014 IEEE 3rd Global Conference on Consumer Electronics, GCCE 2014, February 2014, article number 7031169, p. 505-506
Warrier, Rakesh; Vun, Chan Hua; Zhang, Wei Conference paper
An Extended Framework for Worst-case Throughput Analysis with Router Constraint
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS, February 2015, article number 7032875, p. 691-694
Mohan, Vineeth; Hsu, Wenjing; Zhang, Wei; Wu, Xiaowen Conference paper
FPGA Based Control Flow Checking
51st Design Automation Conference, San Francisco, CA, USA, 1-5 June 2014
Das, Sanjeev; Zhang, Wei; Liu, Yang Conference paper
Hierarchical Library-Based Power Estimator for Versatile FPGAs
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, New York, NY, USA : ACM, 2014, p. 243
Liang, Hao; Chen, Yi-Chung; Zhang, Wei; Li, Hai Conference paper
Reconfigurable DSP Block Design for Dynamically Reconfigurable Architecture
Proceedings - 2014 IEEE International Symposium on Circuits and Systems, IEEE, 2014, p. 2551-2554
Warrier, Rakesh; Liang, Hao; Zhang, Wei Conference paper
Reconfigurable Dynamic Trusted Platform Module for Control Flow Checking
Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI, September 2014, article number 6903354, p. 166-171
Das, Sanjeev; Zhang, Wei; Liu, Yang Conference paper
Reconfigurable Dynamic Trusted Platform Module for Runtime Execution Monitoring
51st Design Automation Conference, San Francisco, CA, USA, 1-5 June 2014
Das, Sanjeev; Zhang, Wei; Liu, Yang Conference paper
Soft Error Mitigation Through Selection of Non-invert Implication Paths
Proceedings of the 2014 NASA/ESA Conference on Adaptive Hardware and Systems, AHS 2014, 2014, article number 6880161, p. 77-82
Zhou, Bin; Thambipillai, Srikanthan; Zhang, Wei Conference paper
Sum of products: Computation using modular thermometer codes
2014 International Symposium on Intelligent Signal Processing and Communication Systems, ISPACS 2014, January 2014, article number 7024441, p. 141-146
Vun, Nicholas C. Hua; Premkumar, Annamalai Benjamin; Zhang, Wei Conference paper
Thermal-Aware Task Scheduling for Peak Temperature Minimization under Periodic Constraint for 3D-MPSoCs
2014 25th IEEE International Symposium on Rapid System Prototyping (RSP 2014), Institute of Electrical and Electronics Engineers (IEEE), 2014, p. 107-113
Chaturvedi, Vivek; Singhy, Amit Kumar; Zhang, Wei; Srikanthan, Thambipillai Conference paper
Towards Automatic Partial Reconfiguration in FPGAs
Proceedings of the 2014 International Conference on Field-Programmable Technology, FPT 2014, 2014, article number 7082798, p. 286-287
Mao, Fubing; Zhang, Wei; He, Bingsheng Conference paper

2013 8

A New RNS based DA Approach For Inner Product Computation
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 60, (8), 2013, article number 6423225, p. 2139-2152
Vun, Chan Hua; Premkumar, Annamalai Benjamin; Zhang, Wei Article
Formal Worst-Case Analysis of Crosstalk Noise in Mesh-Based Optical Networks-on-Chip
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 21, (10), October 2013, article number 6352932, p. 1823-1836
Xie, Yiyuan; Nikdast, Mahdi; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Ye, Yaoyao; Wang, Xuan; Wang, Zhehui; Liu, Weichen Article
NBTI Aware Circuit Node Criticality Computation
ACM Journal on Emerging Technologies in Computing Systems, v. 9, (3), 2013, article number 23
Yang, Shengqi; Wang, Wenping; Hagan, Mark; Zhang, Wei; Gupta, Pallav; Cao, Yu Article
A Hardware Security Scheme for RRAM-based FPGA
2013 23rd International Conference on Field Programmable Logic and Applications (FPL 2013), Institute of Electrical and Electronics Engineers (IEEE), 2013
Chen, Yi-Chung; Zhang, Wei; Li, Hai Conference paper
A Network-on-Chip Benchmark Suite Based on Real Applications
4th Workshop on SoCs, Heterogeneous Architectures and Workloads, Shenzhen, China, 24 February 2013
Liu, Weichen; Wang, Zhe; Wu, Xiaowen; Xu, Jiang; Li, Bin; Zhang, Wei; Ye, Yaoyao; Wang, Zhehui; Nikdast, Mahdi Conference paper
Active Power-Gating-Induced Power/Ground Noise Alleviation Using Parasitic Capacitance of On-Chip Memories
Proceedings -Design, Automation and Test in Europe, v. 2013, May 2013, article number 6513699, p. 1221-1224
Wang, Xuan; Xu, Jiang; Zhang, Wei; Wu, Xiaowen; Ye, Yaoyao; Wang, Zhehui; Nikdast, Mahdi; Wang, Zhe Conference paper
Digital-Assisted Noise Eliminating Training for Memristor Crossbar-based Analog Neuromorphic Computing Engine
Proceedings of the 50th Annual Design Automation Conference, New York, NY, USA : ACM, 2013
Liu, Beiye; Hu, Miao; Li, Hai; Mao, Zhi Hong; Chen, Yiran; Huang, Tingwen; Zhang, Wei Conference paper
Thermal Simulator of 3D-IC with Modeling of Anisotropic TSV Conductance and Microchannel Entrance Effects
2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC 2013), IEEE, 2013, p. 485-490
Qian, Hanhua; Liang, Hao; Chang, Chip-Hong; Zhang, Wei; Yu, Hao Conference paper

2012 20

A Nano-Electro-Mechanical-Switch based Thermal Management for 3D Integrated Many-core Memory-Processor System
IEEE Transactions on Nanotechnology (TNANO),, v.11, No.3, pp.588-600, 2012
Huang, Xiwei; Zhang, Chun; Yu, Hao; Zhang, Wei Article
A Physical Design Tool for Carbon Nanotube Field-Effect Transistor Circuits
ACM Journal on Emerging Technologies in Computing Systems (JETC), v. 8, No. 3, Article 25, 2012
Huang, Jiale; Zhu, Minhao; Yang, Shengqi; Gupta, Pallav; Zhang, Wei; Rubin, Steven M.; Garreton, Gila; He, Jin Article
Design Exploration of Hybrid CMOS and Memristor Circuit by New Modified Nodal Analysis
IEEE Transactions on Very Large Scale Integration Systems (TVLSI),, v. 20, Issue 6, 2012, Article number 5762650, p. 1012-1025
Fei, Wei; Yu, Hao; Zhang, Wei; Yeo, Kiat Seng Article
SRAM-based NATURE: A synamically reconfigurable FPGA based on 10T low-power SRAMs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 20, Issue 11, 2012, Article number 6060944, p. 2151-2156
Lin, Tingjung; Zhang, Wei; Jha, Niraj K. Article
The 3D Stacking Bipolar RRAM for High Density
IEEE Transaction on Nanotechnology (TNANO), v. 11, (5), 2012, article number 6241434, p. 945-956
Chen, Yi-Chung; Li, Hai; Zhang, Wei; Pino, Robinson E. Article
FONoC: a Fat Tree Based Optical Network-on-Chip for Multiprocessor System-on-Chip
Integrated Optical Interconnect Architectures for Embedded Systems / Editor: Ian O'Connor, Gabriela Nicolescu. New York, NY : Springer, 2012, p. 137-152
Xu, Jiang; Gu, Huaxi; Zhang, Wei; Liu, Weichen Book chapter
A Look Up Table Design with 3D Bipolar RRAMs
17TH IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), Sydney, AUSTRALIA, 30 Jan- 2 Feb 2012
Chen, Y, C.; Li, H.; Zhang, Wei Conference paper
A Novel Low-Waveguide-Crossing Floorplan for Fat Tree Based Optical Networks-on-Chip
2012 Optical Interconnects Conference, OIC 2012 / IEEE. New York, NY, USA : IEEE, 2012, p. 100-101, Article no.: 6224427
Wang, Zhehui; Xu, Jiang; Wu, Xiaowen; Ye, Yaoyao; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan; Wang, Zhe Conference paper
A novel peripheral circuit for RRAM-based LUT
2012 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS 2012), IEEE International Symposium on Circuits and Systems, Seoul; South Korea; 20 - 23 May 2012, 2012, Article number 6271619,p. 1811-1814
Chen, Yi-Chung; Li, Hai (Helen); Zhang, Wei Conference paper
A RRAM-based Memory System and Applications
Non-Volatile Memories Workshop, 2012
Chen, Y.C.; Zhang, Wei; Li, H. Conference paper
A Thermal and Process Variation Aware MTJ Switching Model and Its Applications in Soft Error Analysis
International Conference on Computer Aided Design (ICCAD), San Jose, CA, United States, Nov 2012
Wang, P, Y.; Zhang, Wei; Joshi, R.; Kanj, R.; Chen, Y. Conference paper
An Efficient Soft Error Protection Scheme for MPSoC and FPGA-based Verification
6th IEEE International Conference on Anti-Counterfeiting, Security and Identification (ASID), Taipei, Taiwan, 24-26 August 2012
Zhang, Wei; Liu, W.; Mao, F. Conference paper
Decentralized Agent Based Re-Clustering for Task Mapping of Tera-Scale Network-on-Chip System
IEEE International Symposium on Circuit and System (ISCAS), Seoul, SOUTH KOREA, 20-23 May 2012
Cui, Yingnan; Zhang, Wei; Yu, Hao Conference paper
Design of low power 3D hybrid memory by non-volatile CBRAM-crossbar with block-level data-retention
IEEE International Symposium on Low Power Electronics and Design (ISLPED), , Redondo Beach, CA, United States, 30 July - 1 Aug 2012
Zhang, Wei; Yu, H.; Wang, Y.; Zhang, C. Conference paper
Distributed Thermal-Aware Task Scheduling for 3D Network-on-Chip
30TH International Conference on Computer Design (ICCD), Concordia Univ, Montreal, CANADA, 30 Sep-3 Oct 2012
Cui, Y.; Zhang, Wei; Yu, H. Conference paper
Fine-grained Dynamic Voltage Scaling on OLED Display
IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), Sydney, AUSTRALIA, 30 Jan - 2 Feb 2012
Chen, X.; Zheng, J.; Chen, Y.; Li, H.; Zhang, Wei; Liao, S, W.; Wang, J. Conference paper
Holistic Comparison of Optical Routers for Chip Multiprocessors
Proceedings of the International Conference on Anti-Counterfeiting, Security and Identification, ASID, article number 6325348
Ye, Yaoyao; Wu, Xiaowen; Xu, Jiang; Zhang, Wei; Nikdast, Mahdi; Wang, Xuan Conference paper
Non-volatile 3D stacking RRAM-based FPGA
22nd International Conference on Field Programmable Logic and Applications (FPL), Oslo, Norway, 29-31 Augt 2012
Chen, Y.C.; Zhang, Wei; Li, H. Conference paper
Thermal analysis for 3D optical network-on-chip based on a novel low-cost 6x6 optical router
2012 Optical Interconnects Conference, Santa Fe, USA, 20-23 May 2012
Ye, Yaoyao; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan; Wang, Zhehui; Wang, Zhe Conference paper
uBRAM-based Run-time Reconfigurable FPGA and Corresponding Reconfiguration Methodology
International Conference on Field Programmable Technology (FPT), Seoul, South Korea, Dec 2012
Zhang, Wei; Chen, Y.C.; Li, H. Conference paper

2011 10

Coroutine-based synthesis of efficient embedded software from SystemC models
IEEE embedded systems letters, v. 3, (1), March 2011, p. 46-49
Liu, Weichen; Xu, Jiang; Muppala, Jogesh; Zhang, Wei; Wu, Xiaowen; Ye, Yaoyao Article
SRAM-based NATURE: A Dynamically Reconfigurable FPGA Based on 10T Low-power SRAMs
IEEE Transactions on Very Large Scale Integration Systems (TVLSI), v. 20, (11), 2012, p. 2151-2156
Lin, Ting-Jung; Zhang, Wei; Jha, Niraj K. Article
A Hybrid Nano/CMOS Dynamically Reconfigurable System
Nanoelectronic Circuit Design / Zhang, Wei, Jha, Niraj, K, Shang, Li. Berlin: Springer, pp 97-151, 2011
Zhang, Wei; Jha, Niraj, K.; Shang, Li Book chapter
Power Dissipation
Low-Power Variation-Tolerant Design in Nanometer Silicon / Liang, Hao, Huang, Jiale, Zhang, Wei. Berlin: Springer, 2011, p. 41-80
Liang, Hao; Huang, Jiale; Zhang, Wei Book chapter
3D-HIM: A 3D High-density interleaved memory for bipolar RRAM design
Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH, 2011 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2011; San Diego, CA; United States; 8 June - 9 June 2011, 2011, Article number 5941484, p. 59-64
Chen, YiChung; Li, Hai; Zhang, Wei; Pino, Robinson E. Conference paper
A NoC traffic suite based on real applications
Proceedings - 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, August 2011, article number 5992461, p. 66-71
Liu, Weichen; Xu, Jiang; Wu, Xiaowen; Ye, Yaoyao; Wang, Xuan; Zhang, Wei; Nikdast, Mahdi; Wang, Zhehui Conference paper
An HQV-approved edge directed interpolation algorithm for de-interlacing
54th IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), Yonsei Univ, Seoul, SOUTH KOREA, 7-10 Aug 2011
Yang, S.; Zhang, Wei; Zou, J. Conference paper
Case study: Alleviating hotspots and improving chip reliability via carbon nanotube thermal interface.
IEEE Design Automation and Testing in Europe, Grenoble, France, 14-18, March, 2011, Article number 5763176, p. 1071-1076
Zhang, Wei; Huang, Jiale; Yang, Shengqi; Gupta, Pallav Conference paper
Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip
Proceedings - 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, August 2011, article number 5992489, p. 254-259
Ye, Yaoyao; Xu, Jiang; Wu, Xiaowen; Zhang, Wei; Wang, Xuan; Nikdast, Mahdi; Wang, Zhehui; Liu, Weichen Conference paper
NEMS based Thermal Management for 3D Many-core System
IEEE International Symposium on Nanoscale Architectures (NanoArch), June 2011, Article number 5941507, p. 218-223.
Huang, Xiwei; Yu, Hao; Zhang, Wei Conference paper

2010 6

Low-Power 3D Nano/CMOS Hybrid Dynamically Reconfigurable Architecture
ACM Journal on Emerging Technologies in Computing Systems, v. 6, Issue 3, August 2010, Article number 10
Zhang, Wei; Shang, Li; Jha, Niraj K. Article
A hardware-software collaborated method for soft-error tolerant MPSoC
Proceedings of 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, 2011, article number 5992490, p. 260-265
Liu, Weichen; Xu, Jiang; Wang, Xuan; Wang, Yu; Zhang, Wei; Ye, Yaoyao; Wu, Xiaowen; Nikdast, Mahdi; Wang, Zhehui Conference paper
A Hierarchical Hybrid Optical-Electronic Network-on-Chip
Proceedings - IEEE Annual Symposium on VLSI, ISVLSI 2010, 2010, p. 327-332
Mo, Kun Wang; Ye, Yaoyao; Wu, Xiaowen; Zhang, Wei; Liu, Weichen; Xu, Jiang Conference paper
A Unified Inter/Intra-chip Optical Interconnect Network
IEEE/ACM International Symposium on Nanoscale Architectures (NanoArch), San Francisco, June 2010
Wu, Xiaowen; Ye, Yaoyao; Zhang, Wei; Liu, Weichen Conference paper
Crosstalk noise and bit error rate analysis for optical network-on-chip
Proceedings - Design Automation Conference, June 2010, p. 657-660
Xie, Yiyuan; Nikdast, Mahdi; Xu,Jiang; Zhang, Wei; Li, Qi; Wu, Xiaowen; Ye, Yaoyao; Wang, Xuan; Liu, Weichen Conference paper
UNION: A Unified Inter/Intra-Chip Optical Network for chip multiprocessors
Proceedings of the 6th IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH, Anaheim, California, 17-18 Jun 2010, p. 35-40
Wu, Xiaowen; Ye, Yaoyao; Zhang, Wei; Liu, Weichen; Nikdast, Mahdi; Wang, Xuan; Xu, Jiang Conference paper

2009 6

A Hybrid Nano/CMOS Dynamically Reconfigurable System – Part I: Architecture
ACM Journal on Emerging Technologies in Computing Systems, v. 5, Issue 4, 2009, p.1-30
Zhang, Wei; Jha, Niraj K.; Shang, Li Article
A Hybrid Nano/CMOS Dynamically Reconfigurable System – Part II: Design Optimization Flow
ACM Journal on Emerging Technologies in Computing Systems (JETC), v. 5, No. 3, Article 13, August 2009
Zhang, Wei; Shang, Li; Jha, Niraj K. Article
Design Space Exploration and Data Memory Architecture Design for a Hybrid Nano/CMOS Dynamically Reconfigurable Architecture
ACM Journal on Emerging Technologies in Computing Systems (JETC), v. 5, (4), Article 17, November 2009
Zhang, Wei; Jha, Niraj K.; Shang, Li Article
Double-Data-Rate, Wave-Pipelined Interconnect for Asynchronous NoCs
IEEE Micro, v. 29, (3), May-June 2009, p. 20-30
Xu, Jiang; Wolf, Wayne; Zhang, Wei Article
A Low-Power Fat Tree-based Optical Network-on-Chip for Multiprocessor System-on-Chip
Proceedings -Design, Automation and Test in Europe, DATE, June 2009, article number 5090624, p. 3-8
Gu, Huaxi; Xu, Jiang; Zhang, Wei Conference paper
A Low-power Low-cost Optical Router for Optical Networks-on-Chip in Multiprocessor Systems-on-Chip
Proceedings of the 2009 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2009, June 2009, article number 5076377, p. 19-24
Gu, Huaxi; Mo, Kwai Hung Morton; Xu, Jiang; Zhang, Wei Conference paper

2008 1

Design ASNoC for Low-Power SoCs
2008 International SoC Design Conference, ISOCC 2008, v. 1, April 2009, article number 4815587, p. 117-120
Xu, Jiang; Zhang, Wei; Mo, Kwai Hung Morton; Shao, Zili Conference paper

2007 1

NanoMap: An Integrated Design Optimization Flow for a Hybrid Nanotube/CMOS Dynamically Reconfigurable Architecture
Proceedings - Design Automation Conference 2007, 2007, p. 300-305, Article number 4261195
Zhang, Wei; Shang, Li; Jha, Niraj K. Conference paper

2006 1

NATURE: A Hybrid Nanotube/CMOS Dynamically Reconfigurable Architecture
IEEE Design Automation Conference (DAC), June 2006, p. 711-716
Zhang,Wei; Jha, Niraj K.; Shang, Li Conference paper

2005 4

6 nm half-pitch lines and 0.04 νm 2 static random access memory patterns by nanoimprint lithography
Nanotechnology, v. 16, Issue 8, 1 August 2005, p. 1058-1061
Austin, Michael D.; Zhang, Wei; Ge, Haixiong; Wasserman, Daniel M.; Lyon, Stephen A.; Chou, Stephen Article
Electrostatic force-assisted nanoimprint lithography
Nano Letters, v. 5, Issue 3, March 2005, p. 527-530
Liang, Xiaogan; Zhang, Wei; Li, Mingtao; Xia, Qiangfei; Wu, Wei; Ge, Haixiong; Huang, Xinyu; Chou, Stephen Article
High-performance nanowire-grid polarizers
Optics Letters, v. 30, Issue 2, 15 January 2005, p. 195-197
Wang, Jianjim; Zhang, Wei; Deng, Xuegong; Deng, Jiandong; Liu, Feng; Sciortino, Paul F.; Chen, Lei Article
ALLCN: An Automatic Logic-to-Layout Tool for Carbon Nanotube Based Nanotechnology
Proceedings - IEEE International Conference on Computer Design (ICCD): VLSI in Computers and Processors, v. 2005, Article number 1524166, Pages 281-288
Zhang, Wei; Jha, Niraj K. Conference paper

2003 4

Fabrication of 60-nm transistors on 4-in. wafer using nanoimprint at all lithography levels
Applied Physics Letters, v. 83, Issue 8, 25 August 2003, p. 1632-1634
Zhang, Wei; Chou, Stephen Article
Pattern transfer fidelity of nanoimprint lithography on six-inch wafers
Nanotechnology, v. 14, Issue 1, January 2003, p. 33-36
Li, Mingtao; Chen, Lei; Zhang, Wei; Chou, Stephen Article
Electrically Tunable Free-Space Sub-Wavelength Grating Filters with 30nm Tuning Range
Optical Fiber Communication Conference (OFC), Conference on Optical Fiber Communication, Technical Digest Series, v. 86, 2003, p. 31-32
Wang, Jian; Chen, Lei; Sciortino, Paul F.; Deng, Xuegong; Liu, Feng; Zhang, Wei; Park, Y. Conference paper
Precision nano-optical waveplates
OSA Trends in Optics and Photonics Series, Conference on Lasers and Electro-Optics (CLEO); Postconference Digest; Baltimore, MD; United States; 1 - 6 June 2003, v. 88, 2003, p. 993-995
Wang, Jianjim; Zhang, Wei; Tseng, Betty; Nikolov, Anguel S.; Deng, Xuegong; Liu, Feng; Gan, Dong; Niu, Xinwei; Chen, Lei; Sciortino, Paul F.; Kostal, Hubert Conference paper

2002 1

Application of Optimization Methods to Crack Profile Inversion Using Eddy-Current Data
Review of Progress in Quantitative Nondestructive Evaluation, July 2002
Bowler, John; Zhang, Wei; Dogand?i?, Alexander Conference paper

2001 1

Multilevel nanoimprint lithography with submicron alignment over 4 in. Si wafers
Applied Physics Letters, v. 79, Issue 6, 6 August 2001, p. 845-847
Zhang, Wei; Chou, Stephen Article

1999 1

Positioning of self-assembled, single-crystal, germanium islands by silicon nanoimprinting
Applied Physics Letters, v. 74, Issue 12, 22 March 1999, p. 1773-1775
Kamins, Theodore I. Ted; Ohlberg, Douglas A.A.; Williams, Stanley Stanley; Zhang, Wei; Chou, Stephen Article

1998 2

Large area high density quantized magnetic disks fabricated using nanoimprint lithography
Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, v. 16, Issue 6, November 1998, p. 3825-3829
Wu, Wei; Cui, Bo; Sun, Xiaoyun; Zhang, Wei; Zhuang, Lei; Kong, Linshu; Chou, Stephen Article
Multilayer resist methods for nanoimprint lithography on nonflat surfaces
Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures,42nd International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication (EIPBN) CHICAGO, ILLINOIS, 26-29, May 1998, v. 16, Issue 6, November 1998, p. 3922-3925
Sun, Xiaoyun; Zhuang, Lei; Zhang, Wei; Chou, Stephen Article


No Publications






Teaching Assignment
2021-22 Winter 0 2021-22 Fall 3 2020-21 Summer 2 2020-21 Spring 5 2020-21 Winter 0 2020-21 Fall 1


ELEC2910 Academic and Professional Development I
ELEC3910 Academic and Professional Development II
ELEC4320 FPGA-based Design: From Theory to Practice


ELEC4900 Final Year Design Project
ELEC4901 Final Year Thesis


CPEG2930 Academic and Professional Development I
CPEG3930 Academic and Professional Development II
ELEC4900 Final Year Design Project
ELEC4901 Final Year Thesis
ELEC5140 Advanced Computer Architecture


ELEC4320 FPGA-based Design: From Theory to Practice


No Teaching Assignments


No Teaching Assignments






Research Postgraduate (RPG) Supervision From January 2019 to December 2022 (As of 30 January 2022)


All Supervisions Current RPGs Graduated RPGs




Current RPGs


Doctor of Philosophy CHEN, Liangji
Robotics and Autonomous Systems( 2021 - )

HU, Tianshuai (co-supervision)
Robotics and Autonomous Systems( 2021 - )

LAI, Chengtao
Electronic and Computer Engineering( 2021 - )

SUN, Ge (co-supervision)
Robotics and Autonomous Systems( 2021 - )

ZHANG, Yuying
Electronic and Computer Engineering( 2021 - )

ZHOU, Xiaofeng
Electronic and Computer Engineering( 2021 - )

AHMAD, Afzal
Electronic and Computer Engineering( 2020 - )

LI, Enlai
Electronic and Computer Engineering( 2020 - )

ZHONG, Shuai
Electronic and Computer Engineering( 2020 - )

KOU, Zili
Electronic and Computer Engineering( 2019 - )

LIANG, Tingyuan
Electronic and Computer Engineering( 2019 - )

SU, Chunyou
Electronic and Computer Engineering( 2019 - )

TAHGHIGHI, Mohammad
Electronic and Computer Engineering( 2017 - )




Master of Philosophy FAN, Hanwei (co-supervision)
Microelectronics( 2021 - )

SHI, Xu
Electronic and Computer Engineering( 2021 - )

DU, Linfeng
Electronic and Computer Engineering( 2020 - )

PENG, Jian
Electronic and Computer Engineering( 2020 - )

SATHI, Sarveswara Reddy
Electronic and Computer Engineering( 2020 - )

ZHANG, Qingwen (co-supervision)
Robotics and Autonomous Systems( 2020 - )

ZHENG, Yile
Electronic and Computer Engineering( 2020 - )

JEON, Sang Hyun
Electronic and Computer Engineering( 2019 - )





Graduated RPGs


Doctor of Philosophy MU, Jiandong
Electronic and Computer Engineering( Completed in 2021 )

HE, Wenjian
Electronic and Computer Engineering( Completed in 2020 )

ZHAO, Jieru
Electronic and Computer Engineering( Completed in 2020 )

ZHU, Zuomin
Electronic and Computer Engineering( Completed in 2020 )

FENG, Liang
Electronic and Computer Engineering( Completed in 2019 )

LIN, Zhe
Electronic and Computer Engineering( Completed in 2019 )




Master of Philosophy WONG, Yuk
Electronic and Computer Engineering( Completed in 2021 )

HAN, Wei
Electronic and Computer Engineering( Completed in 2020 )

FONG, Chi Fung Brian
Electronic and Computer Engineering( Completed in 2019 )

LIANG, Tingyuan
Electronic and Computer Engineering( Completed in 2019 )









ProjectsFrom January 2020 to December 2022

All Projects 6 Leading Projects 5 Participating Projects 1


Graph learning-based subgraph pattern extraction and its application to the optimization of synthesis flow for FPGA


基於圖學習的子圖模式提取及其在現場可編程邏輯門陣列綜合流程優化中的應用 Leading


RGC - General Research Fund


Project Team (HKUST)
ZHANG Wei (Lead)


2022 -




ACCESS: AI Chip Center for Emerging Smart Systems Participating


Innovation and Technology Fund


Project Team (HKUST)
CHENG Tim Kwang-Ting (Lead)
CHAN Man Sun
TSUI Chi Ying
XU Jiang
ZHANG Wei


2020 -




Efficient High-level Routing Congestion Prediction and Mitigation for FPGA HLS-based Design


基於FPGA HLS設計的高效路由擁塞預測和緩解 Leading


RGC - General Research Fund


Project Team (HKUST)
ZHANG Wei (Lead)


2020 -




Exploiting the Potential of Cache Coherent Heterogeneous CPU-FPGA Platforms


開發緩存一致性異構CPU-FPGA平臺的潛力 Leading


RGC - General Research Fund


Project Team (HKUST)
ZHANG Wei (Lead)


2019 -




FPGA-Aided Point Cloud Processing And Its Application In Autonomous Vehicles


FPGA輔助的點雲數據處理及其在自動駕駛中的應用 Leading


Innovation and Technology Fund


Project Team (HKUST)
ZHANG Wei (Lead)


2019 - 2021




Driving High-Performance Computing: A System-level Architecture Design and Exploration for Seamless Integration of FPGA Accelerator with Chip-Multiprocessor


推動高性能計算:FPGA加速器與多核計算機的接口設計 Leading


RGC - General Research Fund


Project Team (HKUST)
ZHANG Wei (Lead)


2017 - 2020






相关话题/香港科技大学 工学院