删除或更新信息,请邮件至freekaoyan#163.com(#换成@)

香港科技大学工学院老师教师导师介绍简介-Wing Hung KI

本站小编 Free考研考试/2022-01-30

Wing Hung KI
暨永雄
PhD in Electrical Engineering
University of California, Los Angeles, 1995

Professor
Department of Electronic and Computer Engineering

Associate Director of Integrated Circuits Design Center



(852) 2358 8516
eeki@ust.hk
Room 2520
Personal Web

Google Scholar
gDOU_mIAAAAJ

ORCID
0000-0002-7873-5643

Scopus ID
7004446837




Research Interest Publications Projects Teaching Assignment RPG Supervision Space used




Research Interest
Switch mode and switched-capacitor power converters
Low dropout regulators
Analog integrated circuits



Publications
All Years 284 2022 0 2021 10 2020 14 2019 16 2018 17 2017 21 2016 206





2021 10

A 6.78 MHz Single-Stage Wireless Power Transmitter Using a 3-Mode Zero-Voltage Switching Class-D PA
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 68, (6), June 2021, article number 9417221, p. 2736-2748
Ge, Xinyuan; Cheng, Lin; Yao, Yuan; Ki, Wing Hung Article
An Adaptively-Biased Output-Capacitor-Free Low-Dropout Regulator with Supply-Ripple-Subtraction and Pole-Tracking-Compensation
IEEE Transactions on Power Electronics, V. 36, (11), November 2021, article number 9422175, p. 12795-12804
Han, Xu; Wu, Lianbo; Gao, Yuan; Ki, Wing Hung Article
Circuit Techniques for High Efficiency Fully-Integrated Switched-Capacitor Converters
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 68, (2), February 2021, article number 9302632, p. 556-561
Jiang, Junmin; Liu, Xun; Ki, Wing Hung; Mok, Kwok Tai Philip; Lu, Yan Article
Design of Soft-Error-Aware SRAM With Multi-Node Upset Recovery for Aerospace Applications
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 68, (6), June 2021, article number 9429940, p. 2470-2480
Pal, Soumitra; Mohapatra, Sayonee; Ki, Wing Hung; Islam, Aminul Article
Highly Stable Low Power Radiation Hardened Memory-by-Design SRAM for Space Applications
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 68, (6), June 2021, article number 9281113, p. 2147-2151
Pal, Soumitra; Sri, Dodla Divya; Ki, Wing Hung; Islam, Aminul Article
Radiation-hardened read-decoupled low-power 12T SRAM for space applications
International Journal of Circuit Theory and Applications, 15 July 2021
Pal, Soumitra; Dodla, Divya Sri; Ki, Wing Hung; Islam, Aminul Article
Soft-Error Aware Read-Decoupled SRAM with Multi-node Recovery for Aerospace Applications
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 68, (10), October 2021, p. 3336-3340
Pal, Soumitra; Mohapatra, Sayonee; Ki, Wing Hung; Islam, Aminul Article
Soft-Error Resilient Read Decoupled SRAM with Multi-Node Upset Recovery for Space Applications
IEEE Transactions on Electron Devices, v. 68, (5), May 2021, article number 9385706, p. 2246-2254
Pal, Soumitra; Sri, Dodla Divya; Ki, Wing Hung; Islam, Aminul Article
Soft-Error-Immune Read-Stability-Improved SRAM for Multi-Node Upset Tolerance in Space Applications
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 68, (8), August 2021, article number 9472876, p. 3317-3327
Pal, Soumitra; Mohapatra, Sayonee; Ki, Wing Hung; Islam, Aminul Article
Analysis of Inductor Current for Series Resonant Tank at Different Practical Operating Conditions
IECON Proceedings (Industrial Electronics Conference), v. 2021-October, October 2021
Sarkar, Sayan; Yao, Yuan; Ki, Wing Hung Conference paper

2020 14

40.68 MHz Digital On-Off Delay-Compensated Active Rectifier for WPT of Biomedical Applications
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 67, (12), December 2020, article number 9084122, p. 3307-3311
Pal, Soumitra; Ki, Wing Hung Article
A 40.68-MHz Active Rectifier With Hybrid Adaptive On/Off Delay-Compensation Scheme for Biomedical Implantable Devices
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 67, (2), February 2020, p. 516-525
Cheng, Lin; Ge, Xinyuan; Hu, Langyu; Yao, Yuan; Ki, Wing Hung; Tsui, Chi Ying Article
A 6.78-MHz Single-Stage Wireless Charger With Constant-Current Constant-Voltage Charging Technique
IEEE Journal of Solid-State Circuits, v. 55, (4), April 2020, p. 999-1010
Cheng, Lin; Ge, Xinyuan; Ng, Wai Chiu; Ki, Wing Hung; Zheng, Jiawei; Kwok, Tsz Fai; Tsui, Chi Ying; Liu, Ming Article
A highly stable reliable SRAM cell design for low power applications
Microelectronics Reliability, v. 105, February 2020, article number 113503
Pal, Soumitra; Bose, Subhankar; Ki, Wing Hung; Islam, Aminul Article
A Multi-Phase Switched-Capacitor Converter for Fully Integrated AMLED Micro Display System
IEEE Transactions on Power Electronics, v. 35, (6), June 2020, article number 8892517, p. 6001-6011
Jiang, Junmin; Liu, Xun; Ki, Wing Hung; Mok, Kwok Tai Philip; Lu, Yan Article
An NMOS Digital LDO with NAND-Based Analog-Assisted Loop in 28-nm CMOS
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 67, (11), November 2020, article number 9146705, p. 4041-4052
Ma, Xiaofei; Lu, Yan; Li, Qiang; Ki, Wing Hung; Martins, Rui P. Article
Fast-transient techniques for high-frequency DC-DC converters
Journal of Semiconductors, v. 41, (11), November 2020, article number 112402
Cheng, Lin; Tang, Kui; Ki, Wang Hung; Su, Feng Article
Half-Select-Free Low-Power Dynamic Loop-Cutting Write Assist SRAM Cell for Space Applications
IEEE Transactions on Electron Devices, v. 67, (1), January 2020, article number 8935501, p. 80-89
Pal, Soumitra; Bose, Subhankar; Ki, Wing Hung; Islam, Aminul Article
Reliable write assist low power SRAM cell for wireless sensor network applications
IET Circuits, Devices & Systems, v. 14, (2), March 2020, p. 137-147
Pal, Soumitra; Bose, Subhankar; Ki, Wing Hung; Islam, Aminul Article
Subtraction-Mode Switched-Capacitor Converters With Parasitic Loss Reduction
IEEE Transactions on Power Electronics, v. 35, (2), February 2020, article number 8789393, p. 1200-1204
Jiang, Junmin; Liu, Xun; Huang, Cheng; Ki, Wing Hung; Mok, Kwok Tai Philip; Lu, Yan Article
A Study on Shoot-Through Reduction of DC-DC Converter Pre-Driver using Starving Resistor
IEEE Region 10 Annual International Conference, Proceedings/TENCON, v. 2020, November 2020, article number 9293707, p. 532-537
Sarkar, Sayan; Ki, Wing Hung Conference paper
Design of a Single-Stage Wireless Charger with 92.3%-Peak-Efficiency for Portable Devices Applications
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2020, January 2020, article number 90453600, p. 1-2
Cheng, Lin; Ge, Xinyuan; Ng, Wai Chiu; Ki, Wing Hung; Zheng, Jiawei; Kwok, Tsz Fai; Tsui, Chi Ying; Liu, Ming Conference paper
Mathematical Modelling of Inductor Current in Class-D Amplifier for Wireless Power Transfer
2020 IEEE Wireless Power Transfer Conference, WPTC 2020 / IEEE. New York, NY, USA : IEEE, 2020, p. 399-402, Article number 9295607
Sarkar, Sayan; Ki, Wing Hung Conference paper
Time Domain Analysis of Class-D Amplifier Driving Series-Series and Series-Parallel Circuits
IEEE Region 10 Annual International Conference, Proceedings/TENCON, v. 2020, November 2020, article number 9293947, p. 159-164
Sarkar, Sayan; Ki, Wing Hung Conference paper

2019 16

A Low Power Relaxation Oscillator with Switched-Capacitor Frequency-Locked Loop for Wireless Sensor Node Applications
IEEE Solid-State Circuits Letters, v. 2, (12), December 2019, p. 281-284
Meng, Xiaodong; Li, Xing; Cheng, Lin; Tsui, Chi Ying; Ki, Wing Hung Article
A transient-enhanced output-capacitor-free low-dropout regulator with dynamic miller compensation
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v. 27, (1), January 2019, article number 8458206, p. 243-247
Zhan, Chenchang; Cai, Guigang; Ki, Wing Hung Article
Characterization of Half-Select Free Write Assist 9T SRAM Cell
IEEE Transactions on Electron Devices, v. 66, (11), November 2019, article number 8863651, p. 4745-4752
Pal, Soumitra; Bose, Subhankar; Ki, Wing Hung; Islam, Aminul Article
Design and Development of Memristor-based RRAM
IET Circuits, Devices & Systems, v. 13, (4), July 2019, p. 548-557
Pal, Soumitra; Gupta, Vivek; Ki, Wing Hung; Islam, Aminul Article
Design of Power- and Variability-Aware Nonvolatile RRAM Cell Using Memristor as a Memory Element
Journal of the Electron Devices Society, v. 7, 2019, article number 8764438, p. 701-709
Pal, Soumitra; Bose, Subhanker; Ki, Wing Hung; Islam, Aminul Article
Transmission gate-based 9T SRAM cell for variation resilient low power and reliable internet of things applications
IET Circuits, Devices & Systems, v. 13, (5), August 2019, p. 584-595
Pal, Soumitra; Gupta, Vivek; Ki, Wing Hung; Islam, Aminul Article
A 2.2μW 600kHz Frequency-Locked Relaxation Oscillator with 0.046%/V Voltage and 48.69ppm/°C Temperature Stability for IoT Sensor Node Applications
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, v.2019, June 2019, article number 8778065, p. C44-C45
Meng, Xiaodong; Li, Xing; Zhong, Xiaopeng; Yao, Yuan; Tsui, Chi Ying; Ki, Wing Hung Conference paper
A 40.68MHz Active Rectifier with Hybrid Delay Compensation Scheme
2018 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2018, 8 January 2019, article number 8605616, p. 501-504
Hu, Langyu; Cheng, Lin; Yao, Yuan; Yim, Tak Sang; Ki, Wing Hung; Tsui, Chi Ying Conference paper
A 6.78MHz 92.3%-Peak-Efficiency Single-Stage Wireless Charger with CC-CV Charging and On-Chip Bootstrapping Techniques
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, v. 2019, June 2019, article number 8777990, p. C320-C321
Cheng, Lin; Ge, Xinyuan; Ng, Wai Chiu; Ki, Wing Hung; Zheng, Jiawei; Kwok, Tsz Fai; Tsui, Chi Ying; Liu, Ming Conference paper
A DCM ZVS Class-D Power Amplifier for Wireless Power Transfer Applications
2019 IEEE Asian Solid-State Circuits Conference (A-SSCC): Proceedings of Technical papers / IEEE. Piscataway, New Jersey : IEEE, 2019, p. 43-44, Article number 9056956
Ge, Xinyuan; Cheng, Lin; Ki, Wing Hung Conference paper
A Simplified PWM Controller for Wireless Power Receiver Using a 3-Mode Reconfigurable Resonant Regulating Rectifier
2018 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2018, 8 January 2019, article number 8605613, p. 473-475
Cheng, Lin; Ge, Xinyuan; Ki, Wing Hung; Tsui, Chi Ying Conference paper
Analysis and IC Techniques of Wireless Power Transfer Circuits
Proceedings of the Custom Integrated Circuits Conference, v. 2019-April, April 2019, article number 8780329
Ki, Wing Hung Conference paper
Analyzing Subharmonic Oscillation of Current-Mode Buck Converters with the Circuit-Oriented Geometrical Approach
2019 IEEE International Conference on Integrated Circuits, Technologies and Applications, ICTA 2019 - Proceedings / IEEE. Piscataway, New Jersey : IEEE, 2019, p. 37-38
Tang, Kui; Cheng, Lin; Ki, Wing Hung Conference paper
Polyimide-Based Flexible 3-Coil Inductive Link Design and Optimization
2018 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2018, 8 January 2019, article number 8605614, p. 505-508
Yao, Yuan; Meng, Xiaodong; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Single-Stage Rectifying Constant-Current Constant-Voltage Charger for Wireless Charging
2019 International SoC Design Conference (ISOCC) / IEEE CAS Society. South Korea : IEEE, 2019, p. 59-60, Article number 9078463
Ki, Wing Hung; Cheng, Lin; Ge, Xinyuan; Tsui, Chi Ying Conference paper
Spatial Temperature Sensor with Distributed MASH Modulators
Proceedings of the Custom Integrated Circuits Conference, v. 2019-April, April 2019, article number 8780268
Lam, Hon Piu; Ki, Wing Hung Conference paper

2018 17

A Self-powered Zero-quiescent-current Active Rectifier for Piezoelectric Energy Harvesting
IEICE Electronics Express, v. 15, (18), September 2018, article number 20180739
Ye, Yi-Die; Jiang, Junmin; Ki, Wing Hung Article
Analysis and Design of a Ripple Reduction Chopper Bandpass Amplifier
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 65, (4), April 2018, p. 1185-1195
Zheng, Jiawei; Ki, Wing-Hung; Tsui, Chi-Ying Article
Design Considerations of Distributed and Centralized Switched-Capacitor Converters for Power Supply On-Chip
IEEE Journal of Emerging and Selected Topics in Power Electronics, v. 6, (2), June 2018, p. 515-525
Lu, Yan; Jiang, Junmin; Ki, Wing Hung Article
CMOS Integrated Circuit Design for Wireless Power Transfer
CMOS Integrated Circuit Design for Wireless Power Transfer / by Yan Lu and Wing Hung Ki. Singapore: Springer Nature Singapore Pte Ltd., 2018. Book series: Analog Circuits and Signal Processing.
Lu, Yan; Ki, Wing Hung Book
Analysis of Coupled-Coils
CMOS Integrated Circuit Design for Wireless Power Transfer / Yan Lu, Wing-Hung Ki. Singapore : Springer, 2018, p. 33-51, Book series: Analog Circuits and Signal Processing
Lu, Yan; Ki, Wing Hung Book chapter
Circuit Design of CMOS Rectifiers
CMOS Integrated Circuit Design for Wireless Power Transfer / Yan Lu, Wing-Hung Ki. Singapore : Springer, 2018, p. 53-96, Book series: Analog Circuits and Signal Processing
Lu, Yan; Ki, Wing Hung Book chapter
Conclusions and Future Works
CMOS Integrated Circuit Design for Wireless Power Transfer / Yan Lu, Wing-Hung Ki. Singapore : Springer, 2018, p. 159-161, Book series: Analog Circuits and Signal Processing
Lu, Yan; Ki, Wing Hung Book chapter
DC-DC Converters for WPT
CMOS Integrated Circuit Design for Wireless Power Transfer / Yan Lu, Wing-Hung Ki. Singapore : Springer, 2018, p. 127-141, Book series: Analog Circuits and Signal Processing
Lu, Yan; Ki, Wing Hung Book chapter
Introduction of Wireless Power Transfer
CMOS Integrated Circuit Design for Wireless Power Transfer / Yan Lu, Wing-Hung Ki. Singapore : Springer, 2018, p. 1-11, Book series: Analog Circuits and Signal Processing
Lu, Yan; Ki, Wing Hung Book chapter
Linear Regulators for WPT
CMOS Integrated Circuit Design for Wireless Power Transfer / Yan Lu, Wing-Hung Ki. Singapore : Springer, 2018, p. 97-126, Book series: Analog Circuits and Signal Processing
Lu, Yan; Ki, Wing Hung Book chapter
Power Amplifiers for WPT
CMOS Integrated Circuit Design for Wireless Power Transfer / Yan Lu, Wing-Hung Ki. Singapore : Springer, 2018, p. 143-157, Book series: Analog Circuits and Signal Processing
Lu, Yan; Ki, Wing Hung Book chapter
Wireless Power Transfer Systems
CMOS Integrated Circuit Design for Wireless Power Transfer / Yan Lu, Wing-Hung Ki. Singapore : Springer, 2018, p. 13-32, Book series: Analog Circuits and Signal Processing
Lu, Yan; Ki, Wing Hung Book chapter
A Digital SC Converter with High Efficiency and Low Voltage Ripple
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2018-January, February 2018, p. 289-290
Jiang, Junmin; Ki, Wing Hung; Lu, Yan Conference paper
A Dual-Output SC Converter with Dynamic Power Allocation for Multi-Core Application Processors
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2018-January, February 2018, p. 285-286
Jiang, Junmin; Lu, Yan; Liu, Xun; Ki, Wing Hung; Mok, Philip Kwok Tai; Seng-Pan, U.; Martins, Rui Paulo Conference paper
A fully integrated analog front end for biopotential signal sensing
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 65, (11), November 2018, article number 8438308, p. 3800-3809
Zheng, Jiawei; Ki, Wing Hung; Tsui, Chi Ying Conference paper
An Indoor Solar Energy Harvester with Ultra-Low-Power Reconfigurable Power-On-Reset-Styled Voltage Detector
2018 IEEE International Symposium on Circuits and Systems (ISCAS), 2018-May, 26 April 2018, article number 8351096
Meng, Xiaodong; Li, Xing; Yao, Yuan; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Relaxation oscillator with dynamic comparator and slope-boosting technique
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 65, (10), October 2018, article number 8409992, p. 1330-1334
Zheng, Jiawei; Cheng, Lin; Jiang, Junmin; Ki, Wing Hung Conference paper

2017 21

A 100 MHz Hybrid Supply Modulator With Ripple-Current-Based PWM Control
IEEE Journal of Solid-State Circuits, v. 52, (2), February 2017, article number 7738414, p. 569-578
Tan, Min; Ki, Wing Hung Article
A 6.78-MHz Single-Stage Wireless Power Receiver Using a 3-Mode Reconfigurable Resonant Regulating Rectifier
IEEE Journal of Solid-State Circuits, v. 52, (5), May 2017, article number 7864386, p. 1412-1423
Cheng, Lin; Ki, Wing Hung; Tsui, Chi Ying Article
A Dual-Output Wireless Power Transfer System With Active Rectifier and Three-Level Operation
IEEE Transactions on Power Electronics, v. 32, (2), February 2017, article number 7547959, p. 927-930
Lu, Yan; Huang, Mo; Cheng, Lin; Ki, Wing Hung; Seng-pan, U.; Martins, Rui P. Article
A Multiphase Switched-Capacitor DC-DC Converter Ring With Fast Transient Response and Small Ripple
IEEE Journal of Solid-State Circuits, v. 52, (2), February 2017, article number 7737010, p. 579-591
Lu, Yan; Jiang, Junmin; Ki, Wing Hung Article
Chopper Capacitively-Coupled Instrumentation Amplifier Capable of Handling Large Electrode Offset for Biopotential Recordings
IEEE Transactions on Circuits and Systems II: Express Briefs, v.64, (12), December 2017, article number 8012445, p. 1392-1396
Zheng, Jiawei; Ki, Wing Hung; Hu, Langyu; Tsui, Chi Ying Article
Digital 2-/3-Phase Switched-Capacitor Converter With Ripple Reduction and Efficiency Improvement
IEEE Journal of Solid-State Circuits, v. 52, (7), July 2017, article number 7892868, p. 1836-1848
Jiang, Junmin; Ki, Wing Hung; Lu, Yan Article
Optic Nerve Stimulation System with Adaptive Wireless Powering and Data Telemetry
Micromachines, v.8, (12), December 2017, article number 368
Li, Xing; Lu, Yan; Meng, Xiaodong; Tsui, Chi-Ying; Ki, Wing-Hung Article
Predicting Subharmonic Oscillation of Voltage-Mode Switching Converters Using a Circuit-Oriented Geometrical Approach
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 64, (3), March 2017, article number 7792674, p. 717-730
Cheng, Lin; Ki, Wing-Hung; Yang, Fan; Mok, Philip K.T.; Jing, Xiaocheng Article
Wireless Power Transfer System With ΣΔ Modulated Transmission Power and Fast Load Response for Implantable Medical Devices
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 64, (3), March 2017, article number 7453177, p. 279-283
Li, Xing; Li, Yinping; Tsui, Chi-Ying; Ki, Wing-Hung Article
Very-High-Frequency and Fast-Transient DC-DC Switching Converters
Selected Topics in Power, RF, and Mixed-Signal ICs / Editors: Yan Lu, China Chi-Seng Lam. River Publishers, 2018, p. 9-60, Ch. 1
Cheng, Lin; Ki, Wing Hung Book chapter
1.7mm2 Inductorless Fully Integrated Flipping-capacitor Rectifier (FCR) For Piezoelectric Energy Harvesting with 483% Power-extraction Enhancement
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 60, March 2017, article number 7870416, p. 372-373
Chen, Zhiyuan; Law, Mankay; Mak, Pui-In; Ki, Wing-Hung; Martins, Rui Paulo Conference paper
A 13.56 MHz On/Off Delay-Compensated Fully-Integrated Active Rectifier for Biomedical Wireless Power Transfer Systems
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, February 2017, article number 7858287, p. 31-32
Cheng, Lin; Ki, Wing Hung; Yim, Tak Sang Conference paper
A 13.56 MHz One-Stage High-Efficiency 0X/1X R3 Rectifier for Implatable Medical Devices
2017 IEEE International Symposium on Circuits and Systems (ISCAS), September 2017, article number 8050848
Ge, Xinyuan; Cheng, Lin; Ki, Wing Hung Conference paper
A 30MHz Hybrid Buck Converter with 36mV Droop and 125ns 1% Settling Time for a 1.25A/2ns Load Transient
IEEE International Solid-State Circuits Conference, v. 60, March 2017, article number 7870324, p. 188-189
Cheng, Lin; Ki, Wing Hung Conference paper
A Dual-symmetrical-output Switched-capacitor Converter with Dynamic Power Cells and Minimized Cross Regulation for Application Processors in 28nm CMOS
IEEE International Solid-State Circuits Conference, v. 60, March 2017, article number 7870402, p. 344-345
Jiang, Junmin; Lu, Yan; Ki, Wing Hung; U seng-pan; Martins, Rui Paulo Conference paper
A Wireless Power Receiver with a 3-Level Reconfigurable Resonant Regulating Rectifier for Mobile-Charging Applications
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, April 2017, article number 7858288, p. 33-34
Cheng, Lin; Ki, Wing Hung; Tsui, Chi Ying Conference paper
Closed-Loop Transfer Functions and Frequency-Point Spectrum Simulation of CCM Buck Converters
2016 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2016, January 2017, article number 7804069, p. 698-701
Ki, Wing Hung; Cheng, Lin; Zhan, Chenchang Conference paper
CMOS Fully-integrated Coulomb Counter Based on Voltage-to-frequency Conversion Algorithm
EDSSC 2017 - 13th IEEE International Conference on Electron Devices and Solid-State Circuits, v. 2017-January, December 2017, p. 1-2
Modak, Dipyaman; Kwok, Tsz Fai; Ki, Wing Hung Conference paper
Fully-Integrated AMLED Micro Display System With a Hybrid Voltage Regulator
2017 IEEE Asian Solid-State Circuits Conference, A-SSCC 2017 - Proceedings, v. 2017-January, December 2017, p. 277-280
Jiang, Junmin; Sun, Liusheng; Zhang, Xu; Yuen, Shing Hin; Li, Xianbo; Ki, Wing Hung; Yue, Patrick C.; Lau, Kei May Conference paper
Methods for Measuring Loop-Gain Function of High-Frequency DC-DC Converters
2016 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2016, January 2017, article number 7803945, p. 247-249
Liu, Xun; Jiang, Junmin; Mok, Philip K T; Ki, Wing Hung Conference paper
Stability Conditions for Hybrid Supply Modulators
Proceedings - IEEE International Symposium on Circuits and Systems, September 2017, article number 8050513, Category numberCFP17ISC-USB; Code 130930
Tan, Min; Ki, Wing Hung Conference paper

2016 13

Adaptive On/Off Delay-Compensated Active Rectifiers for Wireless Power Transfer Systems
IEEE Journal of Solid-State Circuits, v. 51, (3), March 2016, article number 7403843, p. 712-723
Cheng, Lin; Ki, Wing Hung; Lu, Yan; Yim, Tak Sang Article
An Efficiency-Enhanced Hybrid Supply Modulator With Single-Capacitor Current-Integration Control
IEEE Journal of Solid-State Circuits, v. 51, (2), February 2016, article number 7328251, p. 533-542
Tan, Min; Ki, Wing Hung Article
An Implantable Medical Device for Transcorneal Electrical Stimulation: Packaging Structure, Process Flow, and Toxicology Test
IEEE Transactions on Components, Packaging and Manufacturing Technology, v. 6, (8), Aug 2016, article number 7509610, p. 1174-1180
Le, Fuliang; Lo, Chi Chuen; Qiu, Xing; Lee, Shi-wei; Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Article
An NMOS-LDO Regulated Switched-Capacitor DC-DC Converter With Fast-Response Adaptive-Phase Digital Control
IEEE Transactions on Power Electronics, v. 31, (2), February 2016, p. 1294-1303
Lu, Yan; Ki, Wing Hung; Yue, Chik Patrick Article
Analysis and Design Considerations of Integrated 3-Level Buck Converters
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 63, (5), May 2016, article number 7469385, p. 671-682
Liu, Xun; Mok, Philip Kwok Tai; Jiang, Junmin; Ki, Wing Hung Article
Limit Cycle Oscillation Reduction for Digital Low Dropout Regulators
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 63, (9), September 2016, article number 7419894, p. 903-907
Huang, Mo; Lu, Yan; Sin, Saiweng; Seng, Pan; Martins, Rui Paulo; Ki, Wing-Hung Article
A 0.035mm2 150mA Fast-Response Low-Dropout Regulator Based on Matching-Enhanced Error Amplifier and Multi-Threshold-Controlled Unity-Gain Buffer in 0.13-μm CMOS
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7539151, p. 2703-2706
Zhan, Chenchang; Ki, Wing Hung; Zheng, Jiawei; Liu, Yonggen Conference paper
A 6.78MHz 6W Wireless Power Receiver with a 3-Level 1x / 1/2 x / 0x Reconfigurable Resonant Regulating Rectifier
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 59, February 2016, article number 7418064, p. 376-377
Cheng, Lin; Ki, Wing-Hung; Wong, Tatto; Yim, Taksang; Tsui, Chiying Conference paper
A Digitally-controlled 2-/3-phase 6-ratio Switched- capacitor DC-DC Converter with Adaptive Ripple Reduction and Efficiency Improvements
European Solid-State Circuits Conference, v. 2016-October, November 2016, article number 7598336, p. 441-444
Jiang, Junmin; Lu, Yan; Ki, Wing Hung Conference paper
A Generic Model for Constructing Three-Stage Amplifiers
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7527278, p. 466-469
Tan, Min; Ki, Wing Hung Conference paper
A Low-Power Chopper Bandpass Amplifier for Biopotential Sensors
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7527230, p. 301-304
Zheng, Jiawei; Ki, Wing Hung; Tsui, Chi Ying Conference paper
A WLAN 2.4-GHz RF Energy Harvesting System With Reconfigurable Rectifier For Wireless Sensor Network
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7539059, p. 2362-2365
Zeng, Zizhen; Li, Xing; Bermak, Amine; Tsui, Chi Ying; Ki, Wing Hung Conference paper
An Indoor Solar Energy Harvesting System Using Dual Mode SIDO Converter with Fully Digital Time-Based MPPT
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7539057, p. 2354-2357
Meng, Xiaodong; Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Conference paper

2015 16

A 13.56 MHz Wireless Power Transfer System With Reconfigurable Resonant Regulating Rectifier and Wireless Power Control for Implantable Medical Devices
IEEE Journal of Solid-State Circuits, v. 50, (4), April 2015, article number 7035125, p. 978-989
Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Article
A 30-Gb/s 1.37-pJ/b CMOS Receiver for Optical Interconnects
Journal of Lightwave Technology, v. 33, (4), February 2015, article number 6985584, p. 778-786
Pan, Quan; Wang, Yipeng; Hou, Zhengxiong; Sun, Li; Lu, Yan; Ki, Wing-Hung; Chiang, Patrick; Yue, Chik Patrick Article
A Cascode Miller-Compensated Three-Stage Amplifier With Local Impedance Attenuation for Optimized Complex-Pole Control
IEEE Journal of Solid-State Circuits, v. 50, (2), February 2015, p. 440-449
Tan, Min; Ki, Wing-Hung Article
A Fully-Integrated Low-Dropout Regulator With Full-Spectrum Power Supply Rejection
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 62, (3), March 2015, p. 707-716
Lu, Yan; Wang, Yipeng; Pan, Quan; Ki, Wing Hung; Yue, Patrick C. Article
On-Chip Compensated Wide Output Range Boost Converter with Fixed-Frequency Adaptive Off-Time Control for LED Driver Applications
IEEE Transactions on Power Electronics, v. 30, (4), April 2015, article number 6945409, p. 2096-2107
Cheng, Lin; Ni, Jinhua; Qian, Yao; Zhou, Minchao; Ki, Wing-Hung; Liu, Bill Yang; Li, Grant; Hong, Zhiliang Article
Power Management Analysis of Inductively-Powered Implants with 1X/2X Reconfigurable Rectifier
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 62, (3), March 2015, article number 6977994, p. 617-624
Li, Xing; Tsui, Chi-Ying; Ki, Wing-Hung Article
Reconfigurable Resonant Regulating Rectifier With Primary Equalization for Extended Coupling- and Loading-Range in Bio-Implant Wireless Power Transfer
IEEE Transactions on Biomedical Circuits and Systems, v. 9, (6), December 2015, p. 875-884
Li, Xing; Meng, Xiaodong; Tsui, Chi Ying; Ki, Wing Hung Article
A 123-Phase DC-DC Converter-Ring with Fast-DVS for Microprocessors
2015 IEEE International Solid-state Circuits Conference: Digest of Technical Papers, Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 364-365
Lu, Yan; Jiang, Junmin; Ki, Wing-Hung; Yue, Chik Patrick; Sin, Sai-Weng; U, Seng-pan; Martins, Rui Paulo Conference paper
A 12A 50V Half-bridge Gate Driver for Enhancement-mode GaN HEMTs with Digital Dead-time Correction
2015 IEEE International Symposium on Circuits and Systems (ISCAS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 1750-1753
Chen, Ziang; Wong, Yat To; Yim, Tak Sang; Ki, Wing Hung Conference paper
A 2-/3-Phase Fully Integrated Switched-Capacitor DC-DC Converter in Bulk CMOS for Energy-Efficient Digital Circuits with 14% Efficiency Improvement
2015 IEEE International Solid-State Circuits Conference (ISSCC 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 366-367
Jiang, Junmin; Lu, Yan; Huang, Cheng; Ki, Wing-Hung; Mok, Philip Kwok Tai Conference paper
A Fully Integrated IEEE 802.15.7 Visible Light Communication Transmitter with On-chip 8-W 85% Efficiency Boost LED Driver
2015 Symposium on VLSI Circuits (VLSI Circuits 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. C216-C217
Hussain, Babar; Che, Fengyu; Zhang, Feng; Yim, Tak-Sang; Cheng, Lin; Ki, Wing-Hung; Yue, Patrick Chik; Wu, Liang Conference paper
Analysis of Two-phase On-chip Step-down Switched Capacitor Power Converters
2014 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS 2014), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 575-578
Jiang, Junmin; Lu, Yan; Ki, Wing-Hung Conference paper
Efficient wireless power transmission technology based on above-CMOS integrated (ACI) high quality inductors
2014 IEEE International Electron Devices Meeting (IEDM), February 2015, article number 7047038, p. 12.4.1-12.4.4
Raju, Salahuddin; Li, Xing; Lu, Yan; Tsui, Chi Ying; Ki, Wing Hung; Chan, Mansun; Yue, Chik Patrick Conference paper
Fluxless Packaging of an Implantable Medical Device for Transcorneal Electrical Stimulation
Proceedings of the Electronic Packaging Technology Conference, EPTC, v. 2016-February, February 2016, article number 7412282
Le, Fuliang; Lo, Chi Chuen; Qiu, Xing; Lee, Shi-wei; Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Conference paper
UHF energy harvesting system using reconfigurable rectifier for wireless sensor network
2015 IEEE International Symposium on Circuits and Systems (ISCAS), July 2015, article number 7168578, p. 93-96
Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Wireless Power Transfer System Using Primary Equalizer for Coupling- and Load-Range Extension in Bio-Implant Applications
2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 228-229
Li, Xing; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper

2014 18

A 10/30 MHz Fast Reference-Tracking Buck Converter with DDA-Based Type-III Compensator
IEEE Journal of Solid-State Circuits, v. 49, (12), December 2014, article number 6888536, p. 2788-2799
Cheng, Lin; Liu, Yonggen; Ki, Wing Hung Article
A 13.56 MHz CMOS Active Rectifier With Switched-Offset and Compensated Biasing for Biomedical Wireless Power Transfer Systems
IEEE Transactions on Biomedical Circuits and Systems, v. 8, (3), 2014, article number 6552222, p. 334-344
Lu, Yan; Ki, Wing-Hung Article
A Novel Single-Inductor Dual-Input Dual-Output DC-DC Converter With PWM Control for Solar Energy Harvesting System
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (8), 2014, article number 6595148, p. 1693-1704
Shao, Hui; Li, Xing; Tsui, Chi-Ying; Ki, Wing-Hung Article
Analysis and Design of Output-Capacitor-Free Low-Dropout Regulators With Low Quiescent Current and High Power Supply Rejection
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 61, (2), February 2014, article number 6717040, p. 625-636
Zhan, Chenchang; Ki, Wing-Hung Article
17.11 A 0.65ns-response-time 3.01ps FOM Fully-integrated Low-dropout Regulator with Full-spectrum Power-supply-rejection for Wideband Communication Systems
2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), Institute of Electrical and Electronics Engineers (IEEE), 2014, p. 306-307
Lu, Yan; Ki, Wing-Hung; Yue, Chik Patrick Conference paper
4.4 A 10/30MHz Wide Duty Cycle Range Buck Converter with DDA-based Type-III Compensator and Fast Reference-tracking Responses for DVS Applications
2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), Institute of Electrical and Electronics Engineers (IEEE), 2014
Cheng, Lin; Liu, Yonggen; Ki, Wing Hung Conference paper
A 13.56MHz Wireless Power Transfer System with Reconfigurable Resonant Regulating Rectifier and Wireless Power Control for Implantable Medical Devices
28th IEEE Symposium on VLSI Circuits Digest of Technical Papers, Institute of Electrical and Electronics Engineers (IEEE), 2014
Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Conference paper
A 3-mW 25-Gb/s CMOS Transimpedance Amplifier with Fully Integrated Low-dropout Regulator for 100GbE Systems
Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium, 2014, article number 6851718, p. 275-278
Wang, Yipeng; Lu, Yan; Pan, Quan; Hou, Zhengxiong; Wu, Liang; Ki, Winghung; Yue, Chik Patrick Conference paper
A 41-mW 30-Gb/s CMOS optical receiver with digitally-tunable cascaded equalization
European Solid-State Circuits Conference, v. 2014, article number 6942038, p. 127-130
Pan, Quan; Wang, Yipeng; Hou, Zhengxiong; Sun, Li; Wu, Liang; Ki, Wing Hung; Chiang, Patrick; Yue, Chik Patrick Conference paper
A 48-mW 18-Gb/s Fully Integrated CMOS Optical Receiver with Photodetector and Adaptive Equalizer
2014 Symposium on VLSI Circuits (VLSI-Circuits 2014), Institute of Electrical and Electronics Engineers (IEEE), 2014
Pan, Quan; Hou, Zhengxiong; Wang, Yipeng; Lu, Yan; Ki, Wing Hung; Wang, Keh Chung; Yue, Chik Patrick Conference paper
A 4μA quiescent current output-capacitor-free low-dropout regulator with fully differential input stage
Proceedings of IEEE International Symposium on Circuits and Systems, v. 2014, 2014, article number 6865670, p. 2457-2460
Tan, Min; Zhan, Chenchang; Ki, Wing Hung Conference paper
A circuit-oriented geometrical approach in predicting subharmonic oscillation of dc-dc converters with voltage-mode control
Proceedings of IEEE International Symposium on Circuits and Systems, v. 2014, 2014, article number 6865297, p. 962-965
Cheng, Lin; Ki, Wing Hung Conference paper
A Fast Transient Output-Capacitor-Free Low-Dropout Regulator with Class-AB Control Stage
2014 IEEE International Conference on Electron Devices and Solid-State Circuits, EDSSC 2014, March 2014, article number 7061244
Tan, Min; Ki, Wing-Hung Conference paper
A Low-dropout Regulator with Power Supply Rejection Improvement by Bandwidth-zero Tracking
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS, v. 2015-February, (February), February 2015, article number 7032730, p. 105-108
Lu, Yan; Yao, Ruo He; Huang, Da Qiang; Su, Julien; Jiang, Junmin; Ki, Wing-Hung Conference paper
An Adaptive Wireless Powering and Data Telemetry System for Optic Nerve Stimulation
2014 IEEE International Symposium on Circuits and Systems (ISCAS 2014), Institute of Electrical and Electronics Engineers (IEEE), 2014, p. 1404-1407
Li, Xing; Lu, Yan; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
Fast-transient-response high-PSR low-dropout regulator based on ultra-fast error amplifier and unity-gain buffer for portable applications
Proceedings of IEEE International Symposium on Circuits and Systems, v. 2014, 2014, article number 6865283, p. 906-909
Liu, Yonggen; Zhan, Chenchang; Ki, Wing Hung Conference paper
Load-transient enhanced low-dropout regulator based on buffer stage with paralleled current and voltage paths for low-ESR applications
2014 IEEE International Conference on Electron Devices and Solid-State Circuits, EDSSC 2014, March 2014, article number 7061245
Liu, Yonggen; Zhan, Chenchang; Zheng, Jiawei; Ki, Wing-Hung Conference paper
Through Silicon Underfill Dispensing for 3D Die/Interposer Stacking
Proceedings - Electronic Components and Technology Conference, September 2014, article number 6897397, p. 919-924
Le, Fuliang; Lee, Ricky Shi-wei; Lau, Kei May; Yue, Chik Patrick; Sin, Johnny Kin On; Mok, Philip Kwok Tai; Ki, Wing Hung; Choi, Hoi Wai Conference paper

2013 9

A +/- 0.5% Precision On-Chip Frequency Reference With Programmable Switch Array for Crystal-Less Applications
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 60, (10), 2013, article number 6584791, p. 642-646
Lu, Yan; Yuan, Gang; Der, Lawrence; Ki, Wing-Hung; Yue, Chik Patrick Article
Energy Harvesting and Power Delivery for Implantable Medical Devices
Foundations and trends in electronic design automation, v. 7, (3), August 2013, p. 179-246
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung Article
Energy Harvesting and Power Delivery for Implantable Medical Devices
Foundations and Trends? in Electronic Design Automation (Book 22) / [Authors]: Chi-Ying Tsui, Xing Li, Wing-Hung Ki. Boston - Delft : Now Publishers Inc, 2013, p. 1-82
Tsui, Chi Ying; Li, Xing; Ki, Wing Hung Book chapter
A 13.56MHz fully integrated 1X/2X active rectifier with compensated bias current for inductively powered devices
Digest of Technical Papers - 2013 60th IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 17 February 2013 - 21 February 2013
Lu, Yan; Li, Xing; Ki, Wing-Hung; Tsui, Chiying; Yue, Patrick Chik Conference paper
Cost-effective and Eco-friendly LED System-on-a-Chip (SoC)
2013 10th China International Forum on Solid State Lighting, ChinaSSL 2013, 2013, article number 7177356, p. 235-238
Lau, Kei May; Choi, Hoiwai; Ki, Wing-Hung; Lee, Ricky Shi Wei; Mok, Philip Kwok Tai; Sin, Johnny Kin On; Yue, Chik Patrick Conference paper
Current-Mirror Miller Compensation: An Improved Frequency Compensation Technique for Two-Stage Amplifiers
International Symposium on VLSI Design Automation and Test, v. 2013, 2013, article number 6533876
Tan, Min; Ki, Wing-Hung Conference paper
High-Side NMOS Power Switch and Bootstrap Driver for High-Frequency Fully-Integrated Converters with Enhanced Efficiency
2013 IEEE International Symposium on Circuits and Systems (ISCAS 2013), Institute of Electrical and Electronics Engineers (IEEE), 2013, p. 693-696
Huang, Cheng; Cheng, Lin; Mok, Philip Kwok Tai; Ki, Wing Hung Conference paper
Session 21 overview: Power converters
2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers, San Francisco, CA, USA, 17-21 Feb 2013, p. 360-361
Ki, Wing Hung; Berkhout, Marco Conference paper
Split-output Miller-compensated Two-stage Amplifiers
2013 IEEE International Conference of Electron Devices and Solid-State Circuits (EDSSC 2013), Institute of Electrical and Electronics Engineers (IEEE), 2013
Tan, Min; Ki, Wing Hung Conference paper

2012 13

An Output-Capacitor-Free Adaptively Biased Low-Dropout Regulator With Subthreshold Undershoot-Reduction for SoC
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 59, May 2012, p. 1119-1131
Zhan, Chenchang; Ki, Wing-Hung Article
Introduction to the Special Issue on the 2012 IEEE International Solid-State Circuits Conference
IEEE Journal of Solid-State Circuits, v. 47, December 2012, p. 2859-2864
Corsi, Marco; Andreani, Pietro; Ki, Wing-Hung; Chien, George; Kenney, Jack Article
Output Capacitor Free Low Dropout Regulators: Analysis and Design
Output Capacitor Free Low Dropout Regulators: Analysis and Design / Chenchang Zhan, Wing-Hung Ki. German : LAP LAMBERT Academic Publishing, 2012
Zhan, Chenchang; Ki, Wing-Hung Book
A 10/30MHz PWM Buck Converter with an Accuracy-Improved Ramp Generator
2012 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS (APCCAS), Kaohsiung, Taiwan, 2012, p. 420-423
Liu, Yonggen; Zhan, Chenchang; Cheng, Lin; Ki, Wing-Hung Conference paper
A Chip-Area-Efficient CMOS Low-Dropout Regulator Using Wide-Swing Voltage Buffer with Parabolic Adaptive Biasing for Portable Applications
2012 IEEE Asian Solid State Circuits Conference (A-SSCC), 2012, article number 6522668, p. 233-236
Liu, Yonggen; Zhan, Chenchang; Cheng, Lin; Ki, Wing Hung Conference paper
A Comparative Study of Hysteretic Voltage-Mode Buck Converters for High Switching Frequency and High Accuracy
2012 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS (APCCAS), 2012, p. 57-60
Lai, King-Man; Zhan, Chenchang; Ki, Wing-Hung Conference paper
A fast-transient-response hybrid buck converter with automatic and nearly-seamless loop transition for portable applications
Proceedings of IEEE European Solid-State Circuits Conference, Bordeaux, France, 2012, p. 165-168
Liu, Yonggen; Zhan, Chenchang; Ki, Wing Hung Conference paper
A new charge pump analysis and efficiency optimization method for on-chip charge pump
2012 IEEE Faible Tension Faible Consommation, FTFC 2012, Paris, Fance, 2012
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung Conference paper
Analysis and design strategy of on-chip charge pumps for micro-power energy harvesting applications
IFIP Advances in Information and Communication Technology, v. 379, 2012, p. 158-186
Ki, Wing-Hung; Lu, Yan; Su, Feng; Tsui, Chi-Ying Conference paper
Continuous-Time Common-Mode Feedback Detection Circuits with Enhanced Detection Accuracy
2012 IEEE INTERNATIONAL CONFERENCE ON ELECTRON DEVICES AND SOLID STATE CIRCUIT (EDSSC), Bangkok, Thailand, 2012
Liu, Yonggen; Zhan, Chenchang; Yim, Tak Sang; Ki, Wing-Hung Conference paper
Input-Adaptive Dual-Output Power Management Unit for Energy Harvesting Devices
Midwest Symposium on Circuits and Systems, 2012, p. 1080-1083
Lu, Yan; Ki, Wing-Hung; Yue, C. Patrick Conference paper
Session 5 overview: Audio and power converters: Analog subcommittee
2012 IEEE International Solid-State Circuits Conference, San Francisco, CA, 19-23 Feb 2012, p. 90-91
Ki, Wing Hung; Hurwitz, Jed Conference paper
Solar energy harvesting system design using re-configurable charge pump
2012 IEEE Faible Tension Faible Consommation ,Paris, France, 2012, June, p. 1-4, Article number 6231747
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung Conference paper

2011 9

A low dropout regulator with low quiescent current and high power supply rejection over wide range of frequency for SOC
Journal of circuits, systems, and computers, 20, (1), February 2011, p. 1-13
Zhan, Chenchang; Ki, Wing-Hung Article
Charge Balance Analysis and State Transition Analysis of Hysteretic Voltage Mode Switching Converters
IEEE transactions on circuits and systems. I, Regular papers, v. 58, (5), May 2011, p. 1142-1153
Ki, Wing-Hung; Lai, King-Man; Zhan, Chenchang Article
Vibration Energy Scavenging System With Maximum Power Tracking for Micropower Applications
IEEE transactions on very large scale integration (VLSI) systems, v. 19, (11), November 2011, p. 2109-2119
Lu, Chao; Tsui, Chi-Ying; Ki, Wing-Hung Article
A 13.56MHz CMOS rectifier with switched-offset for reversion current control
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2011, p. 246-247
Lu, Yan; Ki, Wing-Hung; Yi, Jun Conference paper
An adaptive multi-stage rectifier for RF energy harvesting applications
VLSI SoC, Hong Kong, 2011
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung Conference paper
An Adaptively Biased Low-Dropout Regulator with Transient Enhancement
2011 16TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC), 2011
Zhan, Chenchang; Ki, Wing-Hung Conference paper
An Output-Capacitor-Free Adaptively Biased Low-Dropout Regulator With Sub-Threshold Undershoot-Reduction for SoC
IEEE International Symposium on Circuits & Systems (ISCAS), 2011, p. 45-48
Zhan, Chenchang; Ki, Wing-Hung Conference paper
Design and analysis of on-chip charge pumps for micro-power energy harvesting applications
2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, VLSI-SoC 2011, 2011, p. 374-379
Ki, Wing-Hung; Lu, Yan; Su, Feng; Tsui, Chi-Ying Conference paper
ES6: Technologies for smart grid and smart meter
2011 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, CA, 20-24 Feb 2011, p. 533
Jeremy, Hurwitz; Ki, Wing Hung Conference paper

2010 12

A system-on-chip EPC Gen-2 passive UHF RFID tag with embedded temperature sensor
IEEE Journal of Solid-State Circuits, v. 45, (11), 2010, p. 2404-2420
Yin, Jun; Yi, Jun; Law, Man Kay; Ling, Yunxiao; Lee, Man Chiu; Ng, Kwok Ping; Gao, Bo; Luong, Howard; Bermak, Amine; Chan, Man Sun; Ki, Wing-Hung; Tsui, Chiying; Yuen,Matthew Ming Fai Article
CMOS Bandgap References With Self-Biased Symmetrically Matched Current-Voltage Mirror and Extension of Sub-1-V Design
IEEE TRANSACTIONS on very LARGE SCALE INTEGRATION (vlsi) SYSTEMS, v. 18, (6), 2010, p. 857-865
Lam, Yat-Hei; Ki, Wing-Hung Article
Engineering Outreach: A Successful Initiative With Gifted Students in Science and Technology in Hong Kong
IEEE transactions on education, v. 53, (1), 2010, FEB, p. 158-171
Chan, Yuen-Yan; Hui, Diane; Dickinson, Anthony R.; Chu, Dennis; Cheng, David Ki-Wai; Cheung, Edward; Ki, Wing-Hung; Lau, Wing-Hong; Wong, Jasper; Lo, Edward W.C.; Luk, Kwai-Man Article
Output-Capacitor-Free Adaptively Biased Low-Dropout Regulator for System-on-Chips
IEEE TRANSACTIONS on Circuits and SYSTEMS i-regular Papers, v. 57, (5, Sp. Iss. SI), 2010, MAY, p. 1017-1028
Zhan, Chenchang; Ki, Wing-Hung Article
A 25MHz sign and magnitude converter for analog current mode iterative decoders
Proceedings of the 2010 IEEE Asia Pacific Conference on Circuit and System (APCCAS), 2010, p. 220-223
Lo, Ming Yam; Ki, Wing Hung; Mow, Wai Ho Conference paper
A single inductor DIDO DC-DC converter for solar energy harvesting applications using band-band control
Proceedings of the 2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC 2010, 2010, p. 167-172
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
A system-on-chip EPC Gen-2 passive UHF RFID tag with embedded temperature sensor
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 53, 2010, p. 308-309
Yin, Jun; Yi, Jun; Law, Man Kay; Ling, Yunxiao; Lee, Man Chiu; Ng, Kwok Ping; Gao, Bo; Luong, Howard C.; Bermak, Amine; Chan, Man Sun; Ki, Wing-Hung; Tsui, Chi-Ying; Yuen, Matthew Ming-Fai Conference paper
An output-capacitor-free cascode low-dropout regulator with low quiescent current and high power supply rejection
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS, 2010, p. 472-475
Zhan, Chenchang; Ki, Wing-Hung Conference paper
Freewheel duration adjustment circuits for charge-control single-inductor dual-output switching converters
ISCAS 2010 - 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems, 2010, p. 2722-2725
Kwan, Kwok-To; Ki, Wing-Hung Conference paper
Maximizing the Harvested Energy for Micro-power Applications through Efficient MPPT and PMU Design
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2010, p. 75-80
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
Output-Capacitor-Free Adaptively Biased Low-Dropout Regulators
2010 IEEE International Conference of Electron Devices and Solid-State Circuits, EDSSC 2010, 2010
Zhan, Chenchang; Ki, Wing-Hung Conference paper
System Level Power Optimizations for EPC RFID Tags to Improve Sensitivity Using Load Power Shaping and Operation Scheduling
IEEE International Symposium on Circuits and Systems, Paris, May 30- June 2, pp 3012-3015
Ling, Yunxiao; Yi, Jun; Tsui, Chi Ying; Ki, Wing Hung Conference paper

2009 11

Regulated Switched-Capacitor Doubler With Interleaving Control for Continuous Output Regulation
IEEE journal of solid-state circuits, v. 44, (4), 2009, APR, p. 1112-1120
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Article
The Design of a Micro Power Management System for Applications Using Photovoltaic Cells With the Maximum Output Power Control
IEEE TRANSACTIONS on very LARGE SCALE INTEGRATION (vlsi) SYSTEMS, v. 17, (8), 2009, AUG, p. 1138-1142
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Article
A 20MHz switched-current sample-and-hold circuit for current mode analog iterative decoders
Proceedings of the 2009 12th International Symposium on Integrated Circuits, 2009, p. 283-286
Lo, Ming Yam; Ki, Wing-Hung; Mow, Wai Ho Conference paper
A high-precision low-voltage low dropout regulator for SoC with adaptive biasing
2009 IEEE International Symposium on Circuits and Systems, ISCAS 2009, Taipei, Taiwan, 24-27 May 2009, p. 2521-2524
Zhan, Chenchang; Ki, Wing Hung Conference paper
A Low Dropout Regulator for SoC with High Power Supply Rejection and Low Quiescent Current
PROCEEDINGS OF THE 2009 12TH INTERNATIONAL SYMPOSIUM ON INTEGRATED CIRCUITS (ISIC 2009), 2009, p. 260-263
Zhan, Chenchang; Ki, Wing-Hung Conference paper
A single inductor dual input dual output DC-DC converter with hybrid supplies for solar energy harvesting applications
Proceedings of the International Symposium on Low Power Electronics and Design, 2009, p. 69-74
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
An inductor-less MPPT design for light energy harvesting systems
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2009, p. 101-102
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
Digitally assisted quasi-V2 hysteretic buck converter with fixed frequency and without using large-ESR capacitor
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, 2009
Su, Feng; Ki, Wing-Hung Conference paper
Dual-Power-path RF-DC Multi-Output Power Management Unit for RFID Tags
Proceedings of IEEE Symposium on VLSI Circuits, Kyoto, Japan, 16-18 June 2009, p. 200-201
Yi, Jun; Ki, Wing-Hung; Mok, Philip; Tsui, Chi-Ying Conference paper
Loop Bandwidth Extension Technique for PWM Voltage Mode DC-DC Switching Converters
2009 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE (A-SSCC), 2009, p. 325-328
Zhan, Chenchang; Ki, Wing-Hung Conference paper
Near-threshold startup integrated boost converter with slew rate enhanced error amplifier
Proceedings - IEEE International Symposium on Circuits and Systems, 2009, p. 2409-2412
Wong, Yat-To; Ng, Chik-Wai; Wan, Ho-Ming; Kwong, Kwok-Kuen; Lam, Yat-Hei; Ki, Wing-Hung Conference paper

2008 9

Component-efficient multiphase switched-capacitor dC-dC converter with configurable conversion ratios for LCD driver applications
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 55, (8), 2008, AUG, p. 753-757
Su, Feng; Ki, Wing-Hung Article
Ultra fast fixed-frequency hysteretic buck converter with maximum charging current control and adaptive delay compensation for DVS applications
IEEE journal of solid-state circuits, v. 43, (4), 2008, APR, p. 815-822
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Article
A 0.9V 0.35μm adaptively biased CMOS LDO regulator with fast transient response
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 51, 2008
Lam, Yat-Hei; Ki, Wing-Hung Conference paper
An energy-adaptive MPPT power management unit for micro-power vibration energy harvesting
IEEE International Symposium on Circuits & Systems (ISCAS), 2008, p. 2570-2573
Yi, Jun; Su, Feng; Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
An integrated reconfigurable SC power converter with hybrid gate control scheme for mobile display driver applications
Proceedings of 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008, 2008, p. 169-172
Su, Feng; Ki, Wing-Hung Conference paper
An SC voltage regulator with novel area-efficient continuous output regulation by dual-branch interleaving control scheme
2008 IEEE SYMPOSIUM ON VLSI CIRCUITS, 2008, p. 109-110
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
An SC voltage regulator with novel area-efficient continuous output regulation by dual-branch interleaving control scheme
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2008, p. 136-137
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Integrated single-inductor dual-input dual-output boost converter for energy harvesting applications
IEEE International Symposium on Circuits & Systems (ISCAS), 2008, p. 2218-2221
Sze, Ngok-Man; Su, Feng; Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Threshold voltage start-up boost converter for sub-mA applications
DELTA 2008: FOURTH IEEE INTERNATIONAL SYMPOSIUM ON ELECTRONIC DESIGN, TEST AND APPLICATIONS, PROCEEDINGS, 2008, p. 338-341
Sze, Ngok-Man; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper

2007 9

Analysis and Design Strategy of UHF Micro-Power CMOS Rectifiers for Micro-Sensor and RFID Applications
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 54, (1), January 2007, p. 153-166
Yi, Jun; Ki, Wing-Hung; Tsui, Chi-Ying Article
Design strategy for step-up charge pumps with variable integer conversion ratios
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 54, (5), 2007, MAY, p. 417-421
Su, Feng; Ki, Wing-Hung Article
Fast-transient PCCM switching converter with freewheel switching control
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 54, (9), 2007, SEP, p. 825-829
Ma, Dongsheng; Ki, Wing-Hung Article
A batteryless vibration-based energy harvesting system for ultra low power ubiquitous applications
Proceedings - IEEE International Symposium on Circuits and Systems, 2007, p. 1349-1352
Chao, Lu; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
A Micro Power Management System and Maximum Output Power Control for Solar Energy Harvesting Applications
ISLPED'07: PROCEEDINGS OF THE 2007 INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN, 2007, p. 298-303
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
A stable compensation scheme for low dropout regulator in the absence of ESR
ESSCIRC 2007 - Proceedings of the 33rd European Solid-State Circuits Conference, 2007, p. 416-419
Kwok, Tsz-Fai; Ki, Wing-Hung Conference paper
An inductor-less micro solar power management system design for energy harvesting applications
IEEE International Symposium on Circuits & Systems (ISCAS), 2007, p. 1353-1356
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
An ultra fast fixed frequency buck converter with maximum charging current control and adaptive delay compensation for DVS applications
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2007, p. 28-29
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Vibration Energy Scavenging and Management for Ultra Low Power Applications
ISLPED'07: PROCEEDINGS OF THE 2007 INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN, 2007, p. 316-321
Chao, Lu; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper

2006 8

A voltage-mode PWM buck regulator with end-point prediction
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 53, (4), 2006, APR, p. 294-298
Siu, Man; Mok, Philip Kwok Tai; Leung, Ka Nang; Lam, Yat Hei; Ki, Wing Hung Article
Integrated low-loss CMOS active rectifier for wirelessly powered devices
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 53, (12), 2006, DEC, p. 1378-1382
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Article
A novel charge based computation system and control strategy for energy harvesting applications
Proceedings - IEEE International Symposium on Circuits and Systems, 2006, p. 2933-2936
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
Adaptively-biased capacitor-less CMOS low dropout regulator with direct current feedback
ASP-DAC 2006: 11TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, PROCEEDINGS, 2006, p. 104-105
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
An integrated 1.8V to 3.3V regulated voltage doubler using active diodes and dual-loop voltage follower for switch-capacitive load
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2006, p. 85-86
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
High efficiency cross-coupled doubler with no reversion loss
IEEE International Symposium on Circuits & Systems (ISCAS), 2006, p. 2761-2764
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Integrated direct output current control switching converter using symmetrically-matched self-biased current sensors
ASP-DAC 2006: 11TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, PROCEEDINGS, 2006, p. 102-103
Lam, Yat-Hei; Koon, Suet-Chui; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Ultra-low voltage power management circuit and computation methodology for energy harvesting applications
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2006, 2006, p. 96-97
Tsui, Chi-Ying; Shao, Hui; Ki, Wing-Hung; Su, Feng Conference paper

2005 6

A Programmable Integrated Digital Controller for Switching Converters with Dual-band Switching and Complex Pole-zero Compensation
IEEE Journal of Solid-state Circuits, v. 40, (3), 2005, MAR, p. 772-780
Chui, MYK; Ki, Wing Hung; Tsui, Chi Ying Article
Charge redistribution loss consideration in optimal charge pump design
IEEE International Symposium on Circuits & Systems (ISCAS), 2005, p. 1895-1898
Ki, WH; Su, F.; Tsui, CY Conference paper
Gate control strategies for high efficiency charge pumps
IEEE International Symposium on Circuits & Systems (ISCAS), 2005, p. 1907-1910
Su, Feng; Ki, Wing Hung; Tsui, Chi Ying Conference paper
Hypergraph: An alternative graphical model for computing transfer functions in circuits and systems
2005 International Conference on Communications, Circuits and Systems, v .1-2, 2005, p. 1353-1357
Au, Edward Kwok Sum; Mow, Wai Ho; Ki, Wing Hung Conference paper
Integrated charge-control single-inductor dual-output step-up/step-down converter
Proceedings - IEEE International Symposium on Circuits and Systems, 2005, p. 3071-3074
Koon, Suet-Chui; Lam, Yat-Hei; Ki, Wing-Hung Conference paper
Ultra-low voltage power management and computation methodology for energy harvesting applications
2005 Symposium on VLSI Circuits, Digest of Technical Papers, 2005, p. 316-319
Tsui, Chi Ying; Shao, Hui; Ki, Wing Hung; Su, Feng Conference paper

2004 11

A novel current-mode sensing scheme for magnetic tunnel junction MRAM
IEEE transactions on magnetics, v. 40, 2, Part 1, March 2004, p. 483-488
Au, Edward Kwok Sum; Ki, Wing Hung; Mow, Wai Ho; Hung, ST; Wong, CY Article
A switched-current sensing architecture for a four-state per cell magnetic tunnel junction MRAM
IEEE TRANSACTIONS on Circuits and SYSTEMS i-regular Papers, v. 51, (11), November 2004, p. 2113-2122
Au, Edward Kwok Sum; Ki, Wing Hung; Mow, Wai Ho; Hung, ST; Wong, CY Article
An Integrated One-cycle Control Buck Converter with Adaptive Output and Dual Loops for Output Error Correction
IEEE journal of solid-state circuits, v. 39, (1), 2004, JAN, p. 140-149
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying Article
A binary-search switched-current sensing scheme for 4-state MRAM
Proceedings of the ACM Great Lakes Symposium on VLSI, Boston, MA, USA, April 2004
Au, Edward Kwok Sum; Ki, Wing-Hung; Mow, Wai Ho; Hung, S.T.; Wong, C.Y. Conference paper
A CAD simulator based on loop gain measurement for switching converters
Proceedings - IEEE International Symposium on Circuits and Systems, v. 5, 2004, p.940-943
Ma, Dongsheng; Tam, V.H.S.; Ki, Wing-Hung; Lam, Hylas Y.H. Conference paper
A dual-band switching digital controller for a buck converter
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, p. 561-562
Chui, M.Y.K.; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Fast adaptive DC-DC conversion using dual-loop one-cycle control in standard digital CMOS process
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004, p. 539-540
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying Conference paper
Integrated 0.9V charge-control switching converter with self-biased current sensor
Midwest Symposium on Circuits and Systems, v. 2, 2004, p.305-308
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying; Ma, Dongsheng Conference paper
Loop gain analysis and development of high-speed high-accuracy current sensors for switching converters
2004 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 5, PROCEEDINGS, 2004, p. 828-831
Lam, Hylas Yat Hei; Ki, Wing Hung; Ma, Dongsheng Conference paper
Minimizing energy consumption of hard real-time systems with simultaneous tasks scheduling and voltage assignment using statistical data
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004, p. 663-665
Leung, Lay F.; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Minimizing Energy Consumption of Multiple-Processors-Core Systems with Simultaneous Tasks Allocation, Scheduling and Voltage Assignment
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004, p. 647-652
Leung, Lap F.; Tsui, Chi Ying; Ki, Wing Hung Conference paper

2003 10

A 1-v 3.5-mw CMOS switched-opamp quadrature IF circuitry for Bluetooth receivers
IEEE journal of solid-state circuits, v. 38, (5), 2003, MAY, p. 805-816
Cheung, Vincent S.L.; Luong, Howard Cam; Chan, Man Sun; Ki, Wing Hung Article
A pseudo-CCM/DCM SIMO switching converter with freewheel switching
IEEE journal of solid-state circuits, v. 38, (6), 2003, JUN, p. 1007-1014
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying Article
An enhanced compact waffle MOSFET with low drain capacitance from a standard submicron CMOS technology
Solid-state electronics, v. 47, (5), 2003, MAY, p. 785-789
Lam, Sang; Mok, Philip Kwok Tai; Ki, Wing Hung; Ko, Ping Keung; Chan, Man Sun Article
Area-efficient CMOS charge pumps for LCD drivers
IEEE journal of solid-state circuits, v. 38, (10), 2003, OCT, p. 1721-1725
Ying, Tian Rui; Ki, Wing Hung; Chan, Man Sun Article
Single-inductor multiple-output switching converters with time-multiplexing control in discontinuous conduction mode
IEEE journal of solid-state circuits, v. 38, (1), January 2003, p. 89-100
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying; Mok, Philip Kwok Tai Article
An integrated digital controller for DC-DC switching converter with dual-band switching
2003 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS, 2003, p. 45-48
Chui, Yeung Kei; Ki, Wing Hung; Tsui, Chi Ying Conference paper
Analog Integrated Circuit Design of a Hypertrellis Decoder
Parallel and Distributed Computing, Applications and Technologies, PDCAT Proceedings, 2003, p. 552-556
Hu, Zong-Qq; Mow, Wai Ho; Ki, Wing-Hung Conference paper
High-sensitivity switched-current sensing circuit for magnetic tunnel junction MRAM
Proceedings of the 46th IEEE International Midwest Symposium on Circuits & Systems, Cairo, Egypt, 2003
Au, Edward Kwok Sum; Ki, Wing Hung; Mow, Wai Ho; Hung, ST; Wong, CY Conference paper
Simultaneous task allocation, scheduling and voltage assignment for multiple-processors-core systems using mixed integer nonlinear programming
IEEE international symposium on circuits and systems location, Unknown, 2003
Leung, Lap Fai; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Single-inductor dual-input dual-output switching converter for integrated battery charging and power regulation
IEEE international symposium on circuits and systems location, Unknown, 2003
Lam, Yat Hei; Ki, Wing Hung; Tsui, Chi Ying; Mok, Philip Kwok Tai Conference paper

2002 13

A 1.0-V V-DD CMOS active-pixel sensor with complementary pixel architecture and pulsewidth modulation fabricated with a 0.25-mu m CMOS process
IEEE journal of solid-state circuits, v. 37, (12), 2002, DEC, p. 1853-1859
Xu, Chao; Zhang, Wei Quan; Ki, Wing Hung; Chan, Man Sun Article
A 1-V 10.7-MHz switched-opamp, bandpass Sigma Delta modulator using double-sampling finite-gain-compensation technique
IEEE journal of solid-state circuits, v. 37, (10), 2002, OCT, p. 1215-1225
Cheung, Vincent S.L.; Luong, Howard Cam; Ki, Wing Hung Article
A low-voltage CMOS complementary active pixel sensor (CAPS) fabricated using a 0.25 mu m CMOS technology
IEEE electron device letters, v. 23, (7), 2002, JUL, p. 398-400
Xu, Chao; Ki, Wing Hung; Chan, Man Sun Article
A 1-V 3.5-mW CMOS switched-opamp quadrature IF circuitry for bluetooth receivers
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, (CIRCUITS SYMP.), 2002, p. 140-143
Cheung, Vincent S.L.; Luong, Howard Cam; Ki, Wing-Hung Conference paper
A Fast Response Adaptive DC-DC Switching Converter using On-chip Dual-Loop One-cycle control
IEEE European Solid-State Circuit Conference, Firenze, Italy, September 2002
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying Conference paper
A highly integrated CMOS image sensor architecture for low voltage applications with deep submicron process
Proceedings - IEEE International Symposium on Circuits and Systems, v. 3, 2002, p. 699-702
Xu, Chao; Zhang, Weiquan; Ki, Wing Hung; Chan, Man Sun Conference paper
A pseudo-CCM / DCM SIMO switching converter with freewheel switching
IEEE International Solid-State Circuits Conference, San Francisco, USA, 2002
Ma, Dongsheng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
A pseudo-CCM/DCM SIMO switching converter with freewheel switching
IEEE International Solid-State Circuits Conference, San Francisco, USA, 2002
Ma, Dongsheng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
An Enhanced Compact Waffle MOSFET for RF Integrated Circuits
60th Annual Device Research Conference Digest, Santa Barbara, CA, USA, 24-26 June 2002, p. 73-74
Lam, Sang; Ki, Wing Hung; Ko, Ping Keung; Chan, Man Sun Conference paper
Area efficient CMOS integrated charge pumps
Proceedings - IEEE International Symposium on Circuits and Systems, v. 3, 2002, p. 831-834
Ying, Tian Rui; Ki, Wing-Hung; Chan, Man Sun Conference paper
Bi-directional integrated charge pumps
2002 IEEE International Symposium on circuits and systems, Scottsdale, Arizona, USA, 2002
Chan, Chit Sang; Ki, Wing Hung; Tsui, Chi Ying Conference paper
Evaluation of the partially-depleted silicon-on-sapphire technology for microwave amplifiers and other prospective applications
2002 3RD INTERNATIONAL CONFERENCE ON MICROWAVE AND MILLIMETER WAVE TECHNOLOGY PROCEEDINGS, 17-19 Aug 2002, p. 171-174
Lam, Sang; Ki, Wing Hung; Shen, Chao; Ko, Ping Keung; Chan, Man Sun Conference paper
High-isolation bonding pad with depletion-insulation structure for RF/microwave integrated circuits on bulk silicon CMOS
IEEE MTT-S International Microwave Symposium Digest, v. 2, 2002, p. 677-680
Lam, Sang; Ki, Wing Hung; Chan, Man Sun Conference paper

2001 11

A 1-V CMOS switched-opamp switched-capacitor pseudo-2-path filter
IEEE journal of solid-state circuits, v. 36, (1), 2001, JAN, p. 14-22
Cheung, Vincent Sin Luen; Luong, Howard Cam; Ki, Wing Hung Article
A 1.8V single-inductor dual-output switching converter for power reduction techniques
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, Unknown, 2001
Ma, Dongsheng; Ki, Wing-Hung; Tsui, Chi-Ying; Mok, Philip Kwok Tai Conference paper
A 1V 10.7MHz switched-opamp bandpass ∑Δ modulator using double- sampling finite-gain-compensation technique
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, 2001, p. 52-53+428
Cheung, Vincent Sin Luen; Luong, Howard Cam; Ki, Wing Hung Conference paper
A single-inductor dual-output integrated DC/DC boost converter for variable voltage scheduling
THE ASP-DAC 2000: Asia and South Pacific design automation Conference, Taiwan, 2001
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying; Mok, Philip Kwok Tai Conference paper
Characteristics of RF power amplifiers by 0.5μm SOS CMOS process
IEEE International SOI Conference, 2001, p. 141-142
Lam, Sang; Ki, Wing-Hung; Chan, Man Sun Conference paper
Dual-loop feedback for fast low dropout regulators
PESC Record - IEEE Annual Power Electronics Specialists Conference, v. 3, 2001, p. 1265-1269
Chen, Wei; Ki, Wing-Hung; Mok, Philip Kwok Tai Conference paper
Phase-controlled dimmable CFL with PPFC and switching frequency modulation
PESC Record - IEEE Annual Power Electronics Specialists Conference, v. 2, 2001, p. 951-956
Yau, Elson K.F.; Ki, Wing-Hung; Mok, Philip Kwok Tai; Sin, Johnny Kin On Conference paper
Realization of compact MOSFET structure by waffle-layout
European Solid-State Device Research Conf., Nuremberg, Germany, 119-122
Lam, Sang; Ki, Wing-Hung; Kwok, Ka-Chun; Chan, Mansun Conference paper
Single-inductor multiple-output switching converters with bipolar outputs
IEEE International Symposium on Circuits and Systems, Unknown, 2001
Ma, Dongsheng; Ki, Wing-Hung; Mok, Philip Kwok Tai; Tsui, Chi-Ying Conference paper
Switched-capacitor power converters with integrated low dropout regulators
Proceedings - IEEE International Symposium on Circuits and Systems, v. 3, 2001, p. 293-296
Chen, Wei; Ki, Wing-Hung; Mok, Philip Kwok Tai; Chan, Man Sun Conference paper
The silicon-on-sapphire technology for RF integrated circuits: Potential and limitations
IEEE Region 10 International Conference on Electrical and Electronic Technology, 2001, p. 483-486
Lam, Sang; Ki, Wing Hung; Chan, Man Sun Conference paper

2000 7

Signal flow graph analysis of feedback amplifiers
IEEE TRANSACTIONS on Circuits and SYSTEMS i-fundamental THEORY and APPLICATIONS, v. 47, (6), 2000, JUN, p. 926-933
Ki, Wing Hung Article
Three-stage large capacitive load amplifier with damping-factor-control frequency compensation
IEEE journal of solid-state circuits, v. 35, (2), 2000, FEB, p. 221-230
Leung, Ka Nang; Mok, Philip Kwok Tai; Ki, Wing Hung; Sin, Johnny Kin On Article
A 1V CMOS switched-opamp switched-capacitor pseudo-2-path filter
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, 2000, p. 154-155
Cheung, Vincent Sin Luen; Luong, Howard Cam; Ki, Wing-Hung Conference paper
A novel voltage-control scheme for low-voltage DC-DC converters with fast transient recovery
Proceedings of the IEEE 2000 Internaitonal Symposium on Circuits and Systems, v. 1, May 2000, p. 256-259
Lee, Hoi; Mok, Philip Kwok Tai; Ki, Wing Hung Conference paper
Analysis on an alternative structure of damping-factor-control frequency compensation
ISCAS 2000: IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS - PROCEEDINGS, VOL II: EMERGING TECHNOLOGIES FOR THE 21ST CENTURY, 2000, p. 545-548
Leung, Ka Nang; Mok, Philip Kwok Tai; Ki, Wing Hung; Sin, Johnny Kin On Conference paper
IC controller for phase-controlled dimmable compact fluorescent lamps with closed-loop control
Proceedings - IEEE International Symposium on Circuits and Systems, v. 1, 2000, p. 503-506
Chan, Wilson W.S.; Mok, Philip Kwok Tai; Ng, Alex T.K.; Ki, Wing-Hung; Sin, Johnny Kin On Conference paper
Lamp Modeling for Design of Dimmable Electronic Ballasts
IEEE Power Electronics Specialists Conference (PESC), v. 3, 2000, p. 1358-1362
Ng, Tai Kai; Ki, Wing-Hung; Mok, Philip Kowk Tai; Sin, Johnny Kin On Conference paper

1999 6

A novel frequency compensation technique for low-voltage low-dropout regulator
ISCAS '99: PROCEEDINGS OF THE 1999 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 5: SYSTEMS, POWER ELECTRONICS, AND NEURAL NETWORKS, 1999, p. 102-105
Leung, Ka Nang; Mok, Philip Kwok Tai; Ki, Wing Hung Conference paper
Analysis and measurement of DCM power factor correctors
IEEE Power Electronics Specialists Conference (PESC), v. 2, 1999, p. 709-714
Fung, Kin-Siu; Ki, Wing-Hung; Mok, Philip Kwok Tai Conference paper
Damping-factor-control frequency compensation technique for low-voltage low-power large capacitive load applications
1999 IEEE International Solid-State Circuits Conference, 1999. Digest of Technical Papers. ISSCC, San Francisco, CA, USA, 17 Feb 1999, p. 158-159
Leung, Ka Nang; Mok, Philip Kwok Tai; Ki, Wing Hung; Sin, Johnny Kin On Conference paper
Optimum nested Miller compensation for low-voltage low-power CMOS amplifier design
ISCAS '99: PROCEEDINGS OF THE 1999 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 2: ANALOG AND DIGITAL CIRCUITS, 1999, p. 616-619
Leung, Ka Nang; Mok, Philip Kwok Tai; Ki, Wing Hung Conference paper
Phase-controlled dimmable electronic ballast for fluorescent lamps
IEEE Power Electronics Specialists Conference (PESC), v. 2, 1999, p. 1121-1125
Ki, Wing-Hung; Shi, Jiying; Yau, Elson; Mok, Philip Kwok Tai; Sin, Johnny Kin On Conference paper
Right-half-plane Zero Removal Technique for Low-voltage Low-power Nested Miller Compensation CMOS Amplifier
Proceedings of the IEEE International Conference on Electronics, Circuits, and Systems, v. 2, 1999, p. 599-602, article number 813179
Leung, Ka Nang; Mok, Philip Kwok Tai; Ki, Wing Hung Conference paper

1998 2

Analysis of subharmonic oscillation of fixed-frequency current-programming switch mode power converters
IEEE TRANSACTIONS on Circuits and SYSTEMS i-fundamental THEORY and APPLICATIONS, v. 45, (1), 1998, JAN, p. 104-108
Ki, Wing Hung Article
Signal flow graph in loop gain analysis of DC-DC PWM CCM switching converters
IEEE TRANSACTIONS on Circuits and SYSTEMS i-fundamental THEORY and APPLICATIONS, v. 45, (6), 1998, JUN, p. 644-655
Ki, Wing Hung Article

1997 3

Re-examination of pole splitting of a generic single stage amplifier
IEEE TRANSACTIONS on Circuits and SYSTEMS i-fundamental THEORY and APPLICATIONS, v. 44, (1), 1997, JAN, p. 70-74
Ki, Wing Hung; Der, Lawrence; Lam, Steve Article
New universal control methods for power factor correction and DC to DC converter applications
IEEE Applied Power Electronics Conference and Exposition - APEC, v.1, 1997, Feb, p.59-65
Hwang, Jeffery; Chee, Alland; Ki, Wing-Hung Conference paper
Simple method to design resonant circuits of electronic ballast for fluorescent lamps
Proceedings - IEEE International Symposium on Circuits and Systems, v. 3, 1997, p. 1744-1747
Li, Zhi; Mok, Philip Kwok Tai; Ki, Wing-Hung; Sin, Johnny Kin On Conference paper

1995 1

Optimal capacitance assignment of switched-capacitor biquads
IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, v.42, (6), 1995, Jun, p.334-342
Ki, Wing-Hung; Temes, Gabor C. Article

1994 1

Analog VLSI implementations of auditory wavelet transforms using switched-capacitor circuits
IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, v.41, (9), 1994, Sep, p.572-583
Lin, Jyhfong; Ki, Wing-Hung; Edwards, Thomas; Shamma, Shihab Article

1992 3

Area-efficient gain- and offset-compensated very-large-time-constant SC biquads
IEEE International Symposium on Circuits and Systems, May 1992, p.1187-1190
Ki, Wing-Hung; Temes, G.C. Conference paper
Cochlear filters design using a parallel dilating-biquads switched-capacitor filter bank
1992 IEEE International Symposium on Circuits and Systems, 1992. ISCAS '92. Proceedings, San Diego, CA, USA, v. 4, 3-6 May 1992, p. 2053-2056
Lin, Jyhfong; Ki, Wing-Hung; Thompson, K.; Shamma, Shihab Conference paper
Realization of cochlear filter by VLT switched-capacitor biquads
IEEE Int'l Conf. of Acou., Speech, and Sig. Proc., March, 1992, p.II.245-II.248
Lin, Jyhfong; Ki, Wing-Hung; Thompson, K.; Shamma, Shihab Conference paper

1991 1

Gain- and offset-compensated switched-capacitor filters
IEEE International Symposium on Circuits and Systems, v. 3, 1991, p.1561-1564
Ki, Wing-Hung; Temes, Gabor C. Conference paper

1990 2

Low-phase-error offset-compensated switched-capacitor integrator
Electronics Letters, v.26, (13), 1990, Jan, p.957-959
Ki, Wing-Hung; Temes, Gabor C. Article
Offset-compensated switched-capacitor integrators
IEEE International Symposium on Circuits and Systems, v. 4, 1990, p.2829-2832
Ki, Wing-Hung; Temes, Gabor C. Conference paper

1989 1

Switched-capacitor modulator circuits
Electronics Letters, v.25, (6), 1989, Mar, p.379-381
Ki, Wing-Hung; Temes, Gabor C. Article

1987 1

Fast CMOS current amplifier and buffer stage
Electronics Letters, v.23, (13), 1987, Jun, p. 696-697
Temes, Gabor C.; Ki, Wing-Hung Article





Article 9

A 6.78 MHz Single-Stage Wireless Power Transmitter Using a 3-Mode Zero-Voltage Switching Class-D PA
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 68, (6), June 2021, article number 9417221, p. 2736-2748
Ge, Xinyuan; Cheng, Lin; Yao, Yuan; Ki, Wing Hung
An Adaptively-Biased Output-Capacitor-Free Low-Dropout Regulator with Supply-Ripple-Subtraction and Pole-Tracking-Compensation
IEEE Transactions on Power Electronics, V. 36, (11), November 2021, article number 9422175, p. 12795-12804
Han, Xu; Wu, Lianbo; Gao, Yuan; Ki, Wing Hung
Circuit Techniques for High Efficiency Fully-Integrated Switched-Capacitor Converters
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 68, (2), February 2021, article number 9302632, p. 556-561
Jiang, Junmin; Liu, Xun; Ki, Wing Hung; Mok, Kwok Tai Philip; Lu, Yan
Design of Soft-Error-Aware SRAM With Multi-Node Upset Recovery for Aerospace Applications
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 68, (6), June 2021, article number 9429940, p. 2470-2480
Pal, Soumitra; Mohapatra, Sayonee; Ki, Wing Hung; Islam, Aminul
Highly Stable Low Power Radiation Hardened Memory-by-Design SRAM for Space Applications
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 68, (6), June 2021, article number 9281113, p. 2147-2151
Pal, Soumitra; Sri, Dodla Divya; Ki, Wing Hung; Islam, Aminul
Radiation-hardened read-decoupled low-power 12T SRAM for space applications
International Journal of Circuit Theory and Applications, 15 July 2021
Pal, Soumitra; Dodla, Divya Sri; Ki, Wing Hung; Islam, Aminul
Soft-Error Aware Read-Decoupled SRAM with Multi-node Recovery for Aerospace Applications
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 68, (10), October 2021, p. 3336-3340
Pal, Soumitra; Mohapatra, Sayonee; Ki, Wing Hung; Islam, Aminul
Soft-Error Resilient Read Decoupled SRAM with Multi-Node Upset Recovery for Space Applications
IEEE Transactions on Electron Devices, v. 68, (5), May 2021, article number 9385706, p. 2246-2254
Pal, Soumitra; Sri, Dodla Divya; Ki, Wing Hung; Islam, Aminul
Soft-Error-Immune Read-Stability-Improved SRAM for Multi-Node Upset Tolerance in Space Applications
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 68, (8), August 2021, article number 9472876, p. 3317-3327
Pal, Soumitra; Mohapatra, Sayonee; Ki, Wing Hung; Islam, Aminul

Conference paper 1

Analysis of Inductor Current for Series Resonant Tank at Different Practical Operating Conditions
IECON Proceedings (Industrial Electronics Conference), v. 2021-October, October 2021
Sarkar, Sayan; Yao, Yuan; Ki, Wing Hung





Article 10

40.68 MHz Digital On-Off Delay-Compensated Active Rectifier for WPT of Biomedical Applications
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 67, (12), December 2020, article number 9084122, p. 3307-3311
Pal, Soumitra; Ki, Wing Hung
A 40.68-MHz Active Rectifier With Hybrid Adaptive On/Off Delay-Compensation Scheme for Biomedical Implantable Devices
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 67, (2), February 2020, p. 516-525
Cheng, Lin; Ge, Xinyuan; Hu, Langyu; Yao, Yuan; Ki, Wing Hung; Tsui, Chi Ying
A 6.78-MHz Single-Stage Wireless Charger With Constant-Current Constant-Voltage Charging Technique
IEEE Journal of Solid-State Circuits, v. 55, (4), April 2020, p. 999-1010
Cheng, Lin; Ge, Xinyuan; Ng, Wai Chiu; Ki, Wing Hung; Zheng, Jiawei; Kwok, Tsz Fai; Tsui, Chi Ying; Liu, Ming
A highly stable reliable SRAM cell design for low power applications
Microelectronics Reliability, v. 105, February 2020, article number 113503
Pal, Soumitra; Bose, Subhankar; Ki, Wing Hung; Islam, Aminul
A Multi-Phase Switched-Capacitor Converter for Fully Integrated AMLED Micro Display System
IEEE Transactions on Power Electronics, v. 35, (6), June 2020, article number 8892517, p. 6001-6011
Jiang, Junmin; Liu, Xun; Ki, Wing Hung; Mok, Kwok Tai Philip; Lu, Yan
An NMOS Digital LDO with NAND-Based Analog-Assisted Loop in 28-nm CMOS
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 67, (11), November 2020, article number 9146705, p. 4041-4052
Ma, Xiaofei; Lu, Yan; Li, Qiang; Ki, Wing Hung; Martins, Rui P.
Fast-transient techniques for high-frequency DC-DC converters
Journal of Semiconductors, v. 41, (11), November 2020, article number 112402
Cheng, Lin; Tang, Kui; Ki, Wang Hung; Su, Feng
Half-Select-Free Low-Power Dynamic Loop-Cutting Write Assist SRAM Cell for Space Applications
IEEE Transactions on Electron Devices, v. 67, (1), January 2020, article number 8935501, p. 80-89
Pal, Soumitra; Bose, Subhankar; Ki, Wing Hung; Islam, Aminul
Reliable write assist low power SRAM cell for wireless sensor network applications
IET Circuits, Devices & Systems, v. 14, (2), March 2020, p. 137-147
Pal, Soumitra; Bose, Subhankar; Ki, Wing Hung; Islam, Aminul
Subtraction-Mode Switched-Capacitor Converters With Parasitic Loss Reduction
IEEE Transactions on Power Electronics, v. 35, (2), February 2020, article number 8789393, p. 1200-1204
Jiang, Junmin; Liu, Xun; Huang, Cheng; Ki, Wing Hung; Mok, Kwok Tai Philip; Lu, Yan

Conference paper 4

A Study on Shoot-Through Reduction of DC-DC Converter Pre-Driver using Starving Resistor
IEEE Region 10 Annual International Conference, Proceedings/TENCON, v. 2020, November 2020, article number 9293707, p. 532-537
Sarkar, Sayan; Ki, Wing Hung
Design of a Single-Stage Wireless Charger with 92.3%-Peak-Efficiency for Portable Devices Applications
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2020, January 2020, article number 90453600, p. 1-2
Cheng, Lin; Ge, Xinyuan; Ng, Wai Chiu; Ki, Wing Hung; Zheng, Jiawei; Kwok, Tsz Fai; Tsui, Chi Ying; Liu, Ming
Mathematical Modelling of Inductor Current in Class-D Amplifier for Wireless Power Transfer
2020 IEEE Wireless Power Transfer Conference, WPTC 2020 / IEEE. New York, NY, USA : IEEE, 2020, p. 399-402, Article number 9295607
Sarkar, Sayan; Ki, Wing Hung
Time Domain Analysis of Class-D Amplifier Driving Series-Series and Series-Parallel Circuits
IEEE Region 10 Annual International Conference, Proceedings/TENCON, v. 2020, November 2020, article number 9293947, p. 159-164
Sarkar, Sayan; Ki, Wing Hung





Article 6

A Low Power Relaxation Oscillator with Switched-Capacitor Frequency-Locked Loop for Wireless Sensor Node Applications
IEEE Solid-State Circuits Letters, v. 2, (12), December 2019, p. 281-284
Meng, Xiaodong; Li, Xing; Cheng, Lin; Tsui, Chi Ying; Ki, Wing Hung
A transient-enhanced output-capacitor-free low-dropout regulator with dynamic miller compensation
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, v. 27, (1), January 2019, article number 8458206, p. 243-247
Zhan, Chenchang; Cai, Guigang; Ki, Wing Hung
Characterization of Half-Select Free Write Assist 9T SRAM Cell
IEEE Transactions on Electron Devices, v. 66, (11), November 2019, article number 8863651, p. 4745-4752
Pal, Soumitra; Bose, Subhankar; Ki, Wing Hung; Islam, Aminul
Design and Development of Memristor-based RRAM
IET Circuits, Devices & Systems, v. 13, (4), July 2019, p. 548-557
Pal, Soumitra; Gupta, Vivek; Ki, Wing Hung; Islam, Aminul
Design of Power- and Variability-Aware Nonvolatile RRAM Cell Using Memristor as a Memory Element
Journal of the Electron Devices Society, v. 7, 2019, article number 8764438, p. 701-709
Pal, Soumitra; Bose, Subhanker; Ki, Wing Hung; Islam, Aminul
Transmission gate-based 9T SRAM cell for variation resilient low power and reliable internet of things applications
IET Circuits, Devices & Systems, v. 13, (5), August 2019, p. 584-595
Pal, Soumitra; Gupta, Vivek; Ki, Wing Hung; Islam, Aminul

Conference paper 10

A 2.2μW 600kHz Frequency-Locked Relaxation Oscillator with 0.046%/V Voltage and 48.69ppm/°C Temperature Stability for IoT Sensor Node Applications
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, v.2019, June 2019, article number 8778065, p. C44-C45
Meng, Xiaodong; Li, Xing; Zhong, Xiaopeng; Yao, Yuan; Tsui, Chi Ying; Ki, Wing Hung
A 40.68MHz Active Rectifier with Hybrid Delay Compensation Scheme
2018 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2018, 8 January 2019, article number 8605616, p. 501-504
Hu, Langyu; Cheng, Lin; Yao, Yuan; Yim, Tak Sang; Ki, Wing Hung; Tsui, Chi Ying
A 6.78MHz 92.3%-Peak-Efficiency Single-Stage Wireless Charger with CC-CV Charging and On-Chip Bootstrapping Techniques
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, v. 2019, June 2019, article number 8777990, p. C320-C321
Cheng, Lin; Ge, Xinyuan; Ng, Wai Chiu; Ki, Wing Hung; Zheng, Jiawei; Kwok, Tsz Fai; Tsui, Chi Ying; Liu, Ming
A DCM ZVS Class-D Power Amplifier for Wireless Power Transfer Applications
2019 IEEE Asian Solid-State Circuits Conference (A-SSCC): Proceedings of Technical papers / IEEE. Piscataway, New Jersey : IEEE, 2019, p. 43-44, Article number 9056956
Ge, Xinyuan; Cheng, Lin; Ki, Wing Hung
A Simplified PWM Controller for Wireless Power Receiver Using a 3-Mode Reconfigurable Resonant Regulating Rectifier
2018 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2018, 8 January 2019, article number 8605613, p. 473-475
Cheng, Lin; Ge, Xinyuan; Ki, Wing Hung; Tsui, Chi Ying
Analysis and IC Techniques of Wireless Power Transfer Circuits
Proceedings of the Custom Integrated Circuits Conference, v. 2019-April, April 2019, article number 8780329
Ki, Wing Hung
Analyzing Subharmonic Oscillation of Current-Mode Buck Converters with the Circuit-Oriented Geometrical Approach
2019 IEEE International Conference on Integrated Circuits, Technologies and Applications, ICTA 2019 - Proceedings / IEEE. Piscataway, New Jersey : IEEE, 2019, p. 37-38
Tang, Kui; Cheng, Lin; Ki, Wing Hung
Polyimide-Based Flexible 3-Coil Inductive Link Design and Optimization
2018 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2018, 8 January 2019, article number 8605614, p. 505-508
Yao, Yuan; Meng, Xiaodong; Tsui, Chi Ying; Ki, Wing Hung
Single-Stage Rectifying Constant-Current Constant-Voltage Charger for Wireless Charging
2019 International SoC Design Conference (ISOCC) / IEEE CAS Society. South Korea : IEEE, 2019, p. 59-60, Article number 9078463
Ki, Wing Hung; Cheng, Lin; Ge, Xinyuan; Tsui, Chi Ying
Spatial Temperature Sensor with Distributed MASH Modulators
Proceedings of the Custom Integrated Circuits Conference, v. 2019-April, April 2019, article number 8780268
Lam, Hon Piu; Ki, Wing Hung





Article 3

A Self-powered Zero-quiescent-current Active Rectifier for Piezoelectric Energy Harvesting
IEICE Electronics Express, v. 15, (18), September 2018, article number 20180739
Ye, Yi-Die; Jiang, Junmin; Ki, Wing Hung
Analysis and Design of a Ripple Reduction Chopper Bandpass Amplifier
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 65, (4), April 2018, p. 1185-1195
Zheng, Jiawei; Ki, Wing-Hung; Tsui, Chi-Ying
Design Considerations of Distributed and Centralized Switched-Capacitor Converters for Power Supply On-Chip
IEEE Journal of Emerging and Selected Topics in Power Electronics, v. 6, (2), June 2018, p. 515-525
Lu, Yan; Jiang, Junmin; Ki, Wing Hung

Book 1

CMOS Integrated Circuit Design for Wireless Power Transfer
CMOS Integrated Circuit Design for Wireless Power Transfer / by Yan Lu and Wing Hung Ki. Singapore: Springer Nature Singapore Pte Ltd., 2018. Book series: Analog Circuits and Signal Processing.
Lu, Yan; Ki, Wing Hung

Book chapter 8

Analysis of Coupled-Coils
CMOS Integrated Circuit Design for Wireless Power Transfer / Yan Lu, Wing-Hung Ki. Singapore : Springer, 2018, p. 33-51, Book series: Analog Circuits and Signal Processing
Lu, Yan; Ki, Wing Hung
Circuit Design of CMOS Rectifiers
CMOS Integrated Circuit Design for Wireless Power Transfer / Yan Lu, Wing-Hung Ki. Singapore : Springer, 2018, p. 53-96, Book series: Analog Circuits and Signal Processing
Lu, Yan; Ki, Wing Hung
Conclusions and Future Works
CMOS Integrated Circuit Design for Wireless Power Transfer / Yan Lu, Wing-Hung Ki. Singapore : Springer, 2018, p. 159-161, Book series: Analog Circuits and Signal Processing
Lu, Yan; Ki, Wing Hung
DC-DC Converters for WPT
CMOS Integrated Circuit Design for Wireless Power Transfer / Yan Lu, Wing-Hung Ki. Singapore : Springer, 2018, p. 127-141, Book series: Analog Circuits and Signal Processing
Lu, Yan; Ki, Wing Hung
Introduction of Wireless Power Transfer
CMOS Integrated Circuit Design for Wireless Power Transfer / Yan Lu, Wing-Hung Ki. Singapore : Springer, 2018, p. 1-11, Book series: Analog Circuits and Signal Processing
Lu, Yan; Ki, Wing Hung
Linear Regulators for WPT
CMOS Integrated Circuit Design for Wireless Power Transfer / Yan Lu, Wing-Hung Ki. Singapore : Springer, 2018, p. 97-126, Book series: Analog Circuits and Signal Processing
Lu, Yan; Ki, Wing Hung
Power Amplifiers for WPT
CMOS Integrated Circuit Design for Wireless Power Transfer / Yan Lu, Wing-Hung Ki. Singapore : Springer, 2018, p. 143-157, Book series: Analog Circuits and Signal Processing
Lu, Yan; Ki, Wing Hung
Wireless Power Transfer Systems
CMOS Integrated Circuit Design for Wireless Power Transfer / Yan Lu, Wing-Hung Ki. Singapore : Springer, 2018, p. 13-32, Book series: Analog Circuits and Signal Processing
Lu, Yan; Ki, Wing Hung

Conference paper 5

A Digital SC Converter with High Efficiency and Low Voltage Ripple
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2018-January, February 2018, p. 289-290
Jiang, Junmin; Ki, Wing Hung; Lu, Yan
A Dual-Output SC Converter with Dynamic Power Allocation for Multi-Core Application Processors
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2018-January, February 2018, p. 285-286
Jiang, Junmin; Lu, Yan; Liu, Xun; Ki, Wing Hung; Mok, Philip Kwok Tai; Seng-Pan, U.; Martins, Rui Paulo
A fully integrated analog front end for biopotential signal sensing
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 65, (11), November 2018, article number 8438308, p. 3800-3809
Zheng, Jiawei; Ki, Wing Hung; Tsui, Chi Ying
An Indoor Solar Energy Harvester with Ultra-Low-Power Reconfigurable Power-On-Reset-Styled Voltage Detector
2018 IEEE International Symposium on Circuits and Systems (ISCAS), 2018-May, 26 April 2018, article number 8351096
Meng, Xiaodong; Li, Xing; Yao, Yuan; Tsui, Chi Ying; Ki, Wing Hung
Relaxation oscillator with dynamic comparator and slope-boosting technique
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 65, (10), October 2018, article number 8409992, p. 1330-1334
Zheng, Jiawei; Cheng, Lin; Jiang, Junmin; Ki, Wing Hung





Article 9

A 100 MHz Hybrid Supply Modulator With Ripple-Current-Based PWM Control
IEEE Journal of Solid-State Circuits, v. 52, (2), February 2017, article number 7738414, p. 569-578
Tan, Min; Ki, Wing Hung
A 6.78-MHz Single-Stage Wireless Power Receiver Using a 3-Mode Reconfigurable Resonant Regulating Rectifier
IEEE Journal of Solid-State Circuits, v. 52, (5), May 2017, article number 7864386, p. 1412-1423
Cheng, Lin; Ki, Wing Hung; Tsui, Chi Ying
A Dual-Output Wireless Power Transfer System With Active Rectifier and Three-Level Operation
IEEE Transactions on Power Electronics, v. 32, (2), February 2017, article number 7547959, p. 927-930
Lu, Yan; Huang, Mo; Cheng, Lin; Ki, Wing Hung; Seng-pan, U.; Martins, Rui P.
A Multiphase Switched-Capacitor DC-DC Converter Ring With Fast Transient Response and Small Ripple
IEEE Journal of Solid-State Circuits, v. 52, (2), February 2017, article number 7737010, p. 579-591
Lu, Yan; Jiang, Junmin; Ki, Wing Hung
Chopper Capacitively-Coupled Instrumentation Amplifier Capable of Handling Large Electrode Offset for Biopotential Recordings
IEEE Transactions on Circuits and Systems II: Express Briefs, v.64, (12), December 2017, article number 8012445, p. 1392-1396
Zheng, Jiawei; Ki, Wing Hung; Hu, Langyu; Tsui, Chi Ying
Digital 2-/3-Phase Switched-Capacitor Converter With Ripple Reduction and Efficiency Improvement
IEEE Journal of Solid-State Circuits, v. 52, (7), July 2017, article number 7892868, p. 1836-1848
Jiang, Junmin; Ki, Wing Hung; Lu, Yan
Optic Nerve Stimulation System with Adaptive Wireless Powering and Data Telemetry
Micromachines, v.8, (12), December 2017, article number 368
Li, Xing; Lu, Yan; Meng, Xiaodong; Tsui, Chi-Ying; Ki, Wing-Hung
Predicting Subharmonic Oscillation of Voltage-Mode Switching Converters Using a Circuit-Oriented Geometrical Approach
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 64, (3), March 2017, article number 7792674, p. 717-730
Cheng, Lin; Ki, Wing-Hung; Yang, Fan; Mok, Philip K.T.; Jing, Xiaocheng
Wireless Power Transfer System With ΣΔ Modulated Transmission Power and Fast Load Response for Implantable Medical Devices
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 64, (3), March 2017, article number 7453177, p. 279-283
Li, Xing; Li, Yinping; Tsui, Chi-Ying; Ki, Wing-Hung

Book chapter 1

Very-High-Frequency and Fast-Transient DC-DC Switching Converters
Selected Topics in Power, RF, and Mixed-Signal ICs / Editors: Yan Lu, China Chi-Seng Lam. River Publishers, 2018, p. 9-60, Ch. 1
Cheng, Lin; Ki, Wing Hung

Conference paper 11

1.7mm2 Inductorless Fully Integrated Flipping-capacitor Rectifier (FCR) For Piezoelectric Energy Harvesting with 483% Power-extraction Enhancement
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 60, March 2017, article number 7870416, p. 372-373
Chen, Zhiyuan; Law, Mankay; Mak, Pui-In; Ki, Wing-Hung; Martins, Rui Paulo
A 13.56 MHz On/Off Delay-Compensated Fully-Integrated Active Rectifier for Biomedical Wireless Power Transfer Systems
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, February 2017, article number 7858287, p. 31-32
Cheng, Lin; Ki, Wing Hung; Yim, Tak Sang
A 13.56 MHz One-Stage High-Efficiency 0X/1X R3 Rectifier for Implatable Medical Devices
2017 IEEE International Symposium on Circuits and Systems (ISCAS), September 2017, article number 8050848
Ge, Xinyuan; Cheng, Lin; Ki, Wing Hung
A 30MHz Hybrid Buck Converter with 36mV Droop and 125ns 1% Settling Time for a 1.25A/2ns Load Transient
IEEE International Solid-State Circuits Conference, v. 60, March 2017, article number 7870324, p. 188-189
Cheng, Lin; Ki, Wing Hung
A Dual-symmetrical-output Switched-capacitor Converter with Dynamic Power Cells and Minimized Cross Regulation for Application Processors in 28nm CMOS
IEEE International Solid-State Circuits Conference, v. 60, March 2017, article number 7870402, p. 344-345
Jiang, Junmin; Lu, Yan; Ki, Wing Hung; U seng-pan; Martins, Rui Paulo
A Wireless Power Receiver with a 3-Level Reconfigurable Resonant Regulating Rectifier for Mobile-Charging Applications
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, April 2017, article number 7858288, p. 33-34
Cheng, Lin; Ki, Wing Hung; Tsui, Chi Ying
Closed-Loop Transfer Functions and Frequency-Point Spectrum Simulation of CCM Buck Converters
2016 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2016, January 2017, article number 7804069, p. 698-701
Ki, Wing Hung; Cheng, Lin; Zhan, Chenchang
CMOS Fully-integrated Coulomb Counter Based on Voltage-to-frequency Conversion Algorithm
EDSSC 2017 - 13th IEEE International Conference on Electron Devices and Solid-State Circuits, v. 2017-January, December 2017, p. 1-2
Modak, Dipyaman; Kwok, Tsz Fai; Ki, Wing Hung
Fully-Integrated AMLED Micro Display System With a Hybrid Voltage Regulator
2017 IEEE Asian Solid-State Circuits Conference, A-SSCC 2017 - Proceedings, v. 2017-January, December 2017, p. 277-280
Jiang, Junmin; Sun, Liusheng; Zhang, Xu; Yuen, Shing Hin; Li, Xianbo; Ki, Wing Hung; Yue, Patrick C.; Lau, Kei May
Methods for Measuring Loop-Gain Function of High-Frequency DC-DC Converters
2016 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2016, January 2017, article number 7803945, p. 247-249
Liu, Xun; Jiang, Junmin; Mok, Philip K T; Ki, Wing Hung
Stability Conditions for Hybrid Supply Modulators
Proceedings - IEEE International Symposium on Circuits and Systems, September 2017, article number 8050513, Category numberCFP17ISC-USB; Code 130930
Tan, Min; Ki, Wing Hung





Article 6

Adaptive On/Off Delay-Compensated Active Rectifiers for Wireless Power Transfer Systems
IEEE Journal of Solid-State Circuits, v. 51, (3), March 2016, article number 7403843, p. 712-723
Cheng, Lin; Ki, Wing Hung; Lu, Yan; Yim, Tak Sang
An Efficiency-Enhanced Hybrid Supply Modulator With Single-Capacitor Current-Integration Control
IEEE Journal of Solid-State Circuits, v. 51, (2), February 2016, article number 7328251, p. 533-542
Tan, Min; Ki, Wing Hung
An Implantable Medical Device for Transcorneal Electrical Stimulation: Packaging Structure, Process Flow, and Toxicology Test
IEEE Transactions on Components, Packaging and Manufacturing Technology, v. 6, (8), Aug 2016, article number 7509610, p. 1174-1180
Le, Fuliang; Lo, Chi Chuen; Qiu, Xing; Lee, Shi-wei; Li, Xing; Tsui, Chi Ying; Ki, Wing Hung
An NMOS-LDO Regulated Switched-Capacitor DC-DC Converter With Fast-Response Adaptive-Phase Digital Control
IEEE Transactions on Power Electronics, v. 31, (2), February 2016, p. 1294-1303
Lu, Yan; Ki, Wing Hung; Yue, Chik Patrick
Analysis and Design Considerations of Integrated 3-Level Buck Converters
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 63, (5), May 2016, article number 7469385, p. 671-682
Liu, Xun; Mok, Philip Kwok Tai; Jiang, Junmin; Ki, Wing Hung
Limit Cycle Oscillation Reduction for Digital Low Dropout Regulators
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 63, (9), September 2016, article number 7419894, p. 903-907
Huang, Mo; Lu, Yan; Sin, Saiweng; Seng, Pan; Martins, Rui Paulo; Ki, Wing-Hung

Conference paper 7

A 0.035mm2 150mA Fast-Response Low-Dropout Regulator Based on Matching-Enhanced Error Amplifier and Multi-Threshold-Controlled Unity-Gain Buffer in 0.13-μm CMOS
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7539151, p. 2703-2706
Zhan, Chenchang; Ki, Wing Hung; Zheng, Jiawei; Liu, Yonggen
A 6.78MHz 6W Wireless Power Receiver with a 3-Level 1x / 1/2 x / 0x Reconfigurable Resonant Regulating Rectifier
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 59, February 2016, article number 7418064, p. 376-377
Cheng, Lin; Ki, Wing-Hung; Wong, Tatto; Yim, Taksang; Tsui, Chiying
A Digitally-controlled 2-/3-phase 6-ratio Switched- capacitor DC-DC Converter with Adaptive Ripple Reduction and Efficiency Improvements
European Solid-State Circuits Conference, v. 2016-October, November 2016, article number 7598336, p. 441-444
Jiang, Junmin; Lu, Yan; Ki, Wing Hung
A Generic Model for Constructing Three-Stage Amplifiers
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7527278, p. 466-469
Tan, Min; Ki, Wing Hung
A Low-Power Chopper Bandpass Amplifier for Biopotential Sensors
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7527230, p. 301-304
Zheng, Jiawei; Ki, Wing Hung; Tsui, Chi Ying
A WLAN 2.4-GHz RF Energy Harvesting System With Reconfigurable Rectifier For Wireless Sensor Network
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7539059, p. 2362-2365
Zeng, Zizhen; Li, Xing; Bermak, Amine; Tsui, Chi Ying; Ki, Wing Hung
An Indoor Solar Energy Harvesting System Using Dual Mode SIDO Converter with Fully Digital Time-Based MPPT
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7539057, p. 2354-2357
Meng, Xiaodong; Li, Xing; Tsui, Chi Ying; Ki, Wing Hung





Article 7

A 13.56 MHz Wireless Power Transfer System With Reconfigurable Resonant Regulating Rectifier and Wireless Power Control for Implantable Medical Devices
IEEE Journal of Solid-State Circuits, v. 50, (4), April 2015, article number 7035125, p. 978-989
Li, Xing; Tsui, Chi Ying; Ki, Wing Hung
A 30-Gb/s 1.37-pJ/b CMOS Receiver for Optical Interconnects
Journal of Lightwave Technology, v. 33, (4), February 2015, article number 6985584, p. 778-786
Pan, Quan; Wang, Yipeng; Hou, Zhengxiong; Sun, Li; Lu, Yan; Ki, Wing-Hung; Chiang, Patrick; Yue, Chik Patrick
A Cascode Miller-Compensated Three-Stage Amplifier With Local Impedance Attenuation for Optimized Complex-Pole Control
IEEE Journal of Solid-State Circuits, v. 50, (2), February 2015, p. 440-449
Tan, Min; Ki, Wing-Hung
A Fully-Integrated Low-Dropout Regulator With Full-Spectrum Power Supply Rejection
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 62, (3), March 2015, p. 707-716
Lu, Yan; Wang, Yipeng; Pan, Quan; Ki, Wing Hung; Yue, Patrick C.
On-Chip Compensated Wide Output Range Boost Converter with Fixed-Frequency Adaptive Off-Time Control for LED Driver Applications
IEEE Transactions on Power Electronics, v. 30, (4), April 2015, article number 6945409, p. 2096-2107
Cheng, Lin; Ni, Jinhua; Qian, Yao; Zhou, Minchao; Ki, Wing-Hung; Liu, Bill Yang; Li, Grant; Hong, Zhiliang
Power Management Analysis of Inductively-Powered Implants with 1X/2X Reconfigurable Rectifier
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 62, (3), March 2015, article number 6977994, p. 617-624
Li, Xing; Tsui, Chi-Ying; Ki, Wing-Hung
Reconfigurable Resonant Regulating Rectifier With Primary Equalization for Extended Coupling- and Loading-Range in Bio-Implant Wireless Power Transfer
IEEE Transactions on Biomedical Circuits and Systems, v. 9, (6), December 2015, p. 875-884
Li, Xing; Meng, Xiaodong; Tsui, Chi Ying; Ki, Wing Hung

Conference paper 9

A 123-Phase DC-DC Converter-Ring with Fast-DVS for Microprocessors
2015 IEEE International Solid-state Circuits Conference: Digest of Technical Papers, Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 364-365
Lu, Yan; Jiang, Junmin; Ki, Wing-Hung; Yue, Chik Patrick; Sin, Sai-Weng; U, Seng-pan; Martins, Rui Paulo
A 12A 50V Half-bridge Gate Driver for Enhancement-mode GaN HEMTs with Digital Dead-time Correction
2015 IEEE International Symposium on Circuits and Systems (ISCAS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 1750-1753
Chen, Ziang; Wong, Yat To; Yim, Tak Sang; Ki, Wing Hung
A 2-/3-Phase Fully Integrated Switched-Capacitor DC-DC Converter in Bulk CMOS for Energy-Efficient Digital Circuits with 14% Efficiency Improvement
2015 IEEE International Solid-State Circuits Conference (ISSCC 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 366-367
Jiang, Junmin; Lu, Yan; Huang, Cheng; Ki, Wing-Hung; Mok, Philip Kwok Tai
A Fully Integrated IEEE 802.15.7 Visible Light Communication Transmitter with On-chip 8-W 85% Efficiency Boost LED Driver
2015 Symposium on VLSI Circuits (VLSI Circuits 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. C216-C217
Hussain, Babar; Che, Fengyu; Zhang, Feng; Yim, Tak-Sang; Cheng, Lin; Ki, Wing-Hung; Yue, Patrick Chik; Wu, Liang
Analysis of Two-phase On-chip Step-down Switched Capacitor Power Converters
2014 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS 2014), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 575-578
Jiang, Junmin; Lu, Yan; Ki, Wing-Hung
Efficient wireless power transmission technology based on above-CMOS integrated (ACI) high quality inductors
2014 IEEE International Electron Devices Meeting (IEDM), February 2015, article number 7047038, p. 12.4.1-12.4.4
Raju, Salahuddin; Li, Xing; Lu, Yan; Tsui, Chi Ying; Ki, Wing Hung; Chan, Mansun; Yue, Chik Patrick
Fluxless Packaging of an Implantable Medical Device for Transcorneal Electrical Stimulation
Proceedings of the Electronic Packaging Technology Conference, EPTC, v. 2016-February, February 2016, article number 7412282
Le, Fuliang; Lo, Chi Chuen; Qiu, Xing; Lee, Shi-wei; Li, Xing; Tsui, Chi Ying; Ki, Wing Hung
UHF energy harvesting system using reconfigurable rectifier for wireless sensor network
2015 IEEE International Symposium on Circuits and Systems (ISCAS), July 2015, article number 7168578, p. 93-96
Li, Xing; Tsui, Chi Ying; Ki, Wing Hung
Wireless Power Transfer System Using Primary Equalizer for Coupling- and Load-Range Extension in Bio-Implant Applications
2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 228-229
Li, Xing; Tsui, Chi-Ying; Ki, Wing-Hung





Article 4

A 10/30 MHz Fast Reference-Tracking Buck Converter with DDA-Based Type-III Compensator
IEEE Journal of Solid-State Circuits, v. 49, (12), December 2014, article number 6888536, p. 2788-2799
Cheng, Lin; Liu, Yonggen; Ki, Wing Hung
A 13.56 MHz CMOS Active Rectifier With Switched-Offset and Compensated Biasing for Biomedical Wireless Power Transfer Systems
IEEE Transactions on Biomedical Circuits and Systems, v. 8, (3), 2014, article number 6552222, p. 334-344
Lu, Yan; Ki, Wing-Hung
A Novel Single-Inductor Dual-Input Dual-Output DC-DC Converter With PWM Control for Solar Energy Harvesting System
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (8), 2014, article number 6595148, p. 1693-1704
Shao, Hui; Li, Xing; Tsui, Chi-Ying; Ki, Wing-Hung
Analysis and Design of Output-Capacitor-Free Low-Dropout Regulators With Low Quiescent Current and High Power Supply Rejection
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 61, (2), February 2014, article number 6717040, p. 625-636
Zhan, Chenchang; Ki, Wing-Hung

Conference paper 14

17.11 A 0.65ns-response-time 3.01ps FOM Fully-integrated Low-dropout Regulator with Full-spectrum Power-supply-rejection for Wideband Communication Systems
2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), Institute of Electrical and Electronics Engineers (IEEE), 2014, p. 306-307
Lu, Yan; Ki, Wing-Hung; Yue, Chik Patrick
4.4 A 10/30MHz Wide Duty Cycle Range Buck Converter with DDA-based Type-III Compensator and Fast Reference-tracking Responses for DVS Applications
2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), Institute of Electrical and Electronics Engineers (IEEE), 2014
Cheng, Lin; Liu, Yonggen; Ki, Wing Hung
A 13.56MHz Wireless Power Transfer System with Reconfigurable Resonant Regulating Rectifier and Wireless Power Control for Implantable Medical Devices
28th IEEE Symposium on VLSI Circuits Digest of Technical Papers, Institute of Electrical and Electronics Engineers (IEEE), 2014
Li, Xing; Tsui, Chi Ying; Ki, Wing Hung
A 3-mW 25-Gb/s CMOS Transimpedance Amplifier with Fully Integrated Low-dropout Regulator for 100GbE Systems
Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium, 2014, article number 6851718, p. 275-278
Wang, Yipeng; Lu, Yan; Pan, Quan; Hou, Zhengxiong; Wu, Liang; Ki, Winghung; Yue, Chik Patrick
A 41-mW 30-Gb/s CMOS optical receiver with digitally-tunable cascaded equalization
European Solid-State Circuits Conference, v. 2014, article number 6942038, p. 127-130
Pan, Quan; Wang, Yipeng; Hou, Zhengxiong; Sun, Li; Wu, Liang; Ki, Wing Hung; Chiang, Patrick; Yue, Chik Patrick
A 48-mW 18-Gb/s Fully Integrated CMOS Optical Receiver with Photodetector and Adaptive Equalizer
2014 Symposium on VLSI Circuits (VLSI-Circuits 2014), Institute of Electrical and Electronics Engineers (IEEE), 2014
Pan, Quan; Hou, Zhengxiong; Wang, Yipeng; Lu, Yan; Ki, Wing Hung; Wang, Keh Chung; Yue, Chik Patrick
A 4μA quiescent current output-capacitor-free low-dropout regulator with fully differential input stage
Proceedings of IEEE International Symposium on Circuits and Systems, v. 2014, 2014, article number 6865670, p. 2457-2460
Tan, Min; Zhan, Chenchang; Ki, Wing Hung
A circuit-oriented geometrical approach in predicting subharmonic oscillation of dc-dc converters with voltage-mode control
Proceedings of IEEE International Symposium on Circuits and Systems, v. 2014, 2014, article number 6865297, p. 962-965
Cheng, Lin; Ki, Wing Hung
A Fast Transient Output-Capacitor-Free Low-Dropout Regulator with Class-AB Control Stage
2014 IEEE International Conference on Electron Devices and Solid-State Circuits, EDSSC 2014, March 2014, article number 7061244
Tan, Min; Ki, Wing-Hung
A Low-dropout Regulator with Power Supply Rejection Improvement by Bandwidth-zero Tracking
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS, v. 2015-February, (February), February 2015, article number 7032730, p. 105-108
Lu, Yan; Yao, Ruo He; Huang, Da Qiang; Su, Julien; Jiang, Junmin; Ki, Wing-Hung
An Adaptive Wireless Powering and Data Telemetry System for Optic Nerve Stimulation
2014 IEEE International Symposium on Circuits and Systems (ISCAS 2014), Institute of Electrical and Electronics Engineers (IEEE), 2014, p. 1404-1407
Li, Xing; Lu, Yan; Tsui, Chi-Ying; Ki, Wing-Hung
Fast-transient-response high-PSR low-dropout regulator based on ultra-fast error amplifier and unity-gain buffer for portable applications
Proceedings of IEEE International Symposium on Circuits and Systems, v. 2014, 2014, article number 6865283, p. 906-909
Liu, Yonggen; Zhan, Chenchang; Ki, Wing Hung
Load-transient enhanced low-dropout regulator based on buffer stage with paralleled current and voltage paths for low-ESR applications
2014 IEEE International Conference on Electron Devices and Solid-State Circuits, EDSSC 2014, March 2014, article number 7061245
Liu, Yonggen; Zhan, Chenchang; Zheng, Jiawei; Ki, Wing-Hung
Through Silicon Underfill Dispensing for 3D Die/Interposer Stacking
Proceedings - Electronic Components and Technology Conference, September 2014, article number 6897397, p. 919-924
Le, Fuliang; Lee, Ricky Shi-wei; Lau, Kei May; Yue, Chik Patrick; Sin, Johnny Kin On; Mok, Philip Kwok Tai; Ki, Wing Hung; Choi, Hoi Wai





Article 2

A +/- 0.5% Precision On-Chip Frequency Reference With Programmable Switch Array for Crystal-Less Applications
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 60, (10), 2013, article number 6584791, p. 642-646
Lu, Yan; Yuan, Gang; Der, Lawrence; Ki, Wing-Hung; Yue, Chik Patrick
Energy Harvesting and Power Delivery for Implantable Medical Devices
Foundations and trends in electronic design automation, v. 7, (3), August 2013, p. 179-246
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung

Book chapter 1

Energy Harvesting and Power Delivery for Implantable Medical Devices
Foundations and Trends? in Electronic Design Automation (Book 22) / [Authors]: Chi-Ying Tsui, Xing Li, Wing-Hung Ki. Boston - Delft : Now Publishers Inc, 2013, p. 1-82
Tsui, Chi Ying; Li, Xing; Ki, Wing Hung

Conference paper 6

A 13.56MHz fully integrated 1X/2X active rectifier with compensated bias current for inductively powered devices
Digest of Technical Papers - 2013 60th IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 17 February 2013 - 21 February 2013
Lu, Yan; Li, Xing; Ki, Wing-Hung; Tsui, Chiying; Yue, Patrick Chik
Cost-effective and Eco-friendly LED System-on-a-Chip (SoC)
2013 10th China International Forum on Solid State Lighting, ChinaSSL 2013, 2013, article number 7177356, p. 235-238
Lau, Kei May; Choi, Hoiwai; Ki, Wing-Hung; Lee, Ricky Shi Wei; Mok, Philip Kwok Tai; Sin, Johnny Kin On; Yue, Chik Patrick
Current-Mirror Miller Compensation: An Improved Frequency Compensation Technique for Two-Stage Amplifiers
International Symposium on VLSI Design Automation and Test, v. 2013, 2013, article number 6533876
Tan, Min; Ki, Wing-Hung
High-Side NMOS Power Switch and Bootstrap Driver for High-Frequency Fully-Integrated Converters with Enhanced Efficiency
2013 IEEE International Symposium on Circuits and Systems (ISCAS 2013), Institute of Electrical and Electronics Engineers (IEEE), 2013, p. 693-696
Huang, Cheng; Cheng, Lin; Mok, Philip Kwok Tai; Ki, Wing Hung
Session 21 overview: Power converters
2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers, San Francisco, CA, USA, 17-21 Feb 2013, p. 360-361
Ki, Wing Hung; Berkhout, Marco
Split-output Miller-compensated Two-stage Amplifiers
2013 IEEE International Conference of Electron Devices and Solid-State Circuits (EDSSC 2013), Institute of Electrical and Electronics Engineers (IEEE), 2013
Tan, Min; Ki, Wing Hung





Article 2

An Output-Capacitor-Free Adaptively Biased Low-Dropout Regulator With Subthreshold Undershoot-Reduction for SoC
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 59, May 2012, p. 1119-1131
Zhan, Chenchang; Ki, Wing-Hung
Introduction to the Special Issue on the 2012 IEEE International Solid-State Circuits Conference
IEEE Journal of Solid-State Circuits, v. 47, December 2012, p. 2859-2864
Corsi, Marco; Andreani, Pietro; Ki, Wing-Hung; Chien, George; Kenney, Jack

Book 1

Output Capacitor Free Low Dropout Regulators: Analysis and Design
Output Capacitor Free Low Dropout Regulators: Analysis and Design / Chenchang Zhan, Wing-Hung Ki. German : LAP LAMBERT Academic Publishing, 2012
Zhan, Chenchang; Ki, Wing-Hung

Conference paper 10

A 10/30MHz PWM Buck Converter with an Accuracy-Improved Ramp Generator
2012 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS (APCCAS), Kaohsiung, Taiwan, 2012, p. 420-423
Liu, Yonggen; Zhan, Chenchang; Cheng, Lin; Ki, Wing-Hung
A Chip-Area-Efficient CMOS Low-Dropout Regulator Using Wide-Swing Voltage Buffer with Parabolic Adaptive Biasing for Portable Applications
2012 IEEE Asian Solid State Circuits Conference (A-SSCC), 2012, article number 6522668, p. 233-236
Liu, Yonggen; Zhan, Chenchang; Cheng, Lin; Ki, Wing Hung
A Comparative Study of Hysteretic Voltage-Mode Buck Converters for High Switching Frequency and High Accuracy
2012 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS (APCCAS), 2012, p. 57-60
Lai, King-Man; Zhan, Chenchang; Ki, Wing-Hung
A fast-transient-response hybrid buck converter with automatic and nearly-seamless loop transition for portable applications
Proceedings of IEEE European Solid-State Circuits Conference, Bordeaux, France, 2012, p. 165-168
Liu, Yonggen; Zhan, Chenchang; Ki, Wing Hung
A new charge pump analysis and efficiency optimization method for on-chip charge pump
2012 IEEE Faible Tension Faible Consommation, FTFC 2012, Paris, Fance, 2012
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung
Analysis and design strategy of on-chip charge pumps for micro-power energy harvesting applications
IFIP Advances in Information and Communication Technology, v. 379, 2012, p. 158-186
Ki, Wing-Hung; Lu, Yan; Su, Feng; Tsui, Chi-Ying
Continuous-Time Common-Mode Feedback Detection Circuits with Enhanced Detection Accuracy
2012 IEEE INTERNATIONAL CONFERENCE ON ELECTRON DEVICES AND SOLID STATE CIRCUIT (EDSSC), Bangkok, Thailand, 2012
Liu, Yonggen; Zhan, Chenchang; Yim, Tak Sang; Ki, Wing-Hung
Input-Adaptive Dual-Output Power Management Unit for Energy Harvesting Devices
Midwest Symposium on Circuits and Systems, 2012, p. 1080-1083
Lu, Yan; Ki, Wing-Hung; Yue, C. Patrick
Session 5 overview: Audio and power converters: Analog subcommittee
2012 IEEE International Solid-State Circuits Conference, San Francisco, CA, 19-23 Feb 2012, p. 90-91
Ki, Wing Hung; Hurwitz, Jed
Solar energy harvesting system design using re-configurable charge pump
2012 IEEE Faible Tension Faible Consommation ,Paris, France, 2012, June, p. 1-4, Article number 6231747
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung





Article 3

A low dropout regulator with low quiescent current and high power supply rejection over wide range of frequency for SOC
Journal of circuits, systems, and computers, 20, (1), February 2011, p. 1-13
Zhan, Chenchang; Ki, Wing-Hung
Charge Balance Analysis and State Transition Analysis of Hysteretic Voltage Mode Switching Converters
IEEE transactions on circuits and systems. I, Regular papers, v. 58, (5), May 2011, p. 1142-1153
Ki, Wing-Hung; Lai, King-Man; Zhan, Chenchang
Vibration Energy Scavenging System With Maximum Power Tracking for Micropower Applications
IEEE transactions on very large scale integration (VLSI) systems, v. 19, (11), November 2011, p. 2109-2119
Lu, Chao; Tsui, Chi-Ying; Ki, Wing-Hung

Conference paper 6

A 13.56MHz CMOS rectifier with switched-offset for reversion current control
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2011, p. 246-247
Lu, Yan; Ki, Wing-Hung; Yi, Jun
An adaptive multi-stage rectifier for RF energy harvesting applications
VLSI SoC, Hong Kong, 2011
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung
An Adaptively Biased Low-Dropout Regulator with Transient Enhancement
2011 16TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC), 2011
Zhan, Chenchang; Ki, Wing-Hung
An Output-Capacitor-Free Adaptively Biased Low-Dropout Regulator With Sub-Threshold Undershoot-Reduction for SoC
IEEE International Symposium on Circuits & Systems (ISCAS), 2011, p. 45-48
Zhan, Chenchang; Ki, Wing-Hung
Design and analysis of on-chip charge pumps for micro-power energy harvesting applications
2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, VLSI-SoC 2011, 2011, p. 374-379
Ki, Wing-Hung; Lu, Yan; Su, Feng; Tsui, Chi-Ying
ES6: Technologies for smart grid and smart meter
2011 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, CA, 20-24 Feb 2011, p. 533
Jeremy, Hurwitz; Ki, Wing Hung





Article 4

A system-on-chip EPC Gen-2 passive UHF RFID tag with embedded temperature sensor
IEEE Journal of Solid-State Circuits, v. 45, (11), 2010, p. 2404-2420
Yin, Jun; Yi, Jun; Law, Man Kay; Ling, Yunxiao; Lee, Man Chiu; Ng, Kwok Ping; Gao, Bo; Luong, Howard; Bermak, Amine; Chan, Man Sun; Ki, Wing-Hung; Tsui, Chiying; Yuen,Matthew Ming Fai
CMOS Bandgap References With Self-Biased Symmetrically Matched Current-Voltage Mirror and Extension of Sub-1-V Design
IEEE TRANSACTIONS on very LARGE SCALE INTEGRATION (vlsi) SYSTEMS, v. 18, (6), 2010, p. 857-865
Lam, Yat-Hei; Ki, Wing-Hung
Engineering Outreach: A Successful Initiative With Gifted Students in Science and Technology in Hong Kong
IEEE transactions on education, v. 53, (1), 2010, FEB, p. 158-171
Chan, Yuen-Yan; Hui, Diane; Dickinson, Anthony R.; Chu, Dennis; Cheng, David Ki-Wai; Cheung, Edward; Ki, Wing-Hung; Lau, Wing-Hong; Wong, Jasper; Lo, Edward W.C.; Luk, Kwai-Man
Output-Capacitor-Free Adaptively Biased Low-Dropout Regulator for System-on-Chips
IEEE TRANSACTIONS on Circuits and SYSTEMS i-regular Papers, v. 57, (5, Sp. Iss. SI), 2010, MAY, p. 1017-1028
Zhan, Chenchang; Ki, Wing-Hung

Conference paper 8

A 25MHz sign and magnitude converter for analog current mode iterative decoders
Proceedings of the 2010 IEEE Asia Pacific Conference on Circuit and System (APCCAS), 2010, p. 220-223
Lo, Ming Yam; Ki, Wing Hung; Mow, Wai Ho
A single inductor DIDO DC-DC converter for solar energy harvesting applications using band-band control
Proceedings of the 2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC 2010, 2010, p. 167-172
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung
A system-on-chip EPC Gen-2 passive UHF RFID tag with embedded temperature sensor
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 53, 2010, p. 308-309
Yin, Jun; Yi, Jun; Law, Man Kay; Ling, Yunxiao; Lee, Man Chiu; Ng, Kwok Ping; Gao, Bo; Luong, Howard C.; Bermak, Amine; Chan, Man Sun; Ki, Wing-Hung; Tsui, Chi-Ying; Yuen, Matthew Ming-Fai
An output-capacitor-free cascode low-dropout regulator with low quiescent current and high power supply rejection
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS, 2010, p. 472-475
Zhan, Chenchang; Ki, Wing-Hung
Freewheel duration adjustment circuits for charge-control single-inductor dual-output switching converters
ISCAS 2010 - 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems, 2010, p. 2722-2725
Kwan, Kwok-To; Ki, Wing-Hung
Maximizing the Harvested Energy for Micro-power Applications through Efficient MPPT and PMU Design
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2010, p. 75-80
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung
Output-Capacitor-Free Adaptively Biased Low-Dropout Regulators
2010 IEEE International Conference of Electron Devices and Solid-State Circuits, EDSSC 2010, 2010
Zhan, Chenchang; Ki, Wing-Hung
System Level Power Optimizations for EPC RFID Tags to Improve Sensitivity Using Load Power Shaping and Operation Scheduling
IEEE International Symposium on Circuits and Systems, Paris, May 30- June 2, pp 3012-3015
Ling, Yunxiao; Yi, Jun; Tsui, Chi Ying; Ki, Wing Hung





Article 2

Regulated Switched-Capacitor Doubler With Interleaving Control for Continuous Output Regulation
IEEE journal of solid-state circuits, v. 44, (4), 2009, APR, p. 1112-1120
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying
The Design of a Micro Power Management System for Applications Using Photovoltaic Cells With the Maximum Output Power Control
IEEE TRANSACTIONS on very LARGE SCALE INTEGRATION (vlsi) SYSTEMS, v. 17, (8), 2009, AUG, p. 1138-1142
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung

Conference paper 9

A 20MHz switched-current sample-and-hold circuit for current mode analog iterative decoders
Proceedings of the 2009 12th International Symposium on Integrated Circuits, 2009, p. 283-286
Lo, Ming Yam; Ki, Wing-Hung; Mow, Wai Ho
A high-precision low-voltage low dropout regulator for SoC with adaptive biasing
2009 IEEE International Symposium on Circuits and Systems, ISCAS 2009, Taipei, Taiwan, 24-27 May 2009, p. 2521-2524
Zhan, Chenchang; Ki, Wing Hung
A Low Dropout Regulator for SoC with High Power Supply Rejection and Low Quiescent Current
PROCEEDINGS OF THE 2009 12TH INTERNATIONAL SYMPOSIUM ON INTEGRATED CIRCUITS (ISIC 2009), 2009, p. 260-263
Zhan, Chenchang; Ki, Wing-Hung
A single inductor dual input dual output DC-DC converter with hybrid supplies for solar energy harvesting applications
Proceedings of the International Symposium on Low Power Electronics and Design, 2009, p. 69-74
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung
An inductor-less MPPT design for light energy harvesting systems
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2009, p. 101-102
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung
Digitally assisted quasi-V2 hysteretic buck converter with fixed frequency and without using large-ESR capacitor
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, 2009
Su, Feng; Ki, Wing-Hung
Dual-Power-path RF-DC Multi-Output Power Management Unit for RFID Tags
Proceedings of IEEE Symposium on VLSI Circuits, Kyoto, Japan, 16-18 June 2009, p. 200-201
Yi, Jun; Ki, Wing-Hung; Mok, Philip; Tsui, Chi-Ying
Loop Bandwidth Extension Technique for PWM Voltage Mode DC-DC Switching Converters
2009 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE (A-SSCC), 2009, p. 325-328
Zhan, Chenchang; Ki, Wing-Hung
Near-threshold startup integrated boost converter with slew rate enhanced error amplifier
Proceedings - IEEE International Symposium on Circuits and Systems, 2009, p. 2409-2412
Wong, Yat-To; Ng, Chik-Wai; Wan, Ho-Ming; Kwong, Kwok-Kuen; Lam, Yat-Hei; Ki, Wing-Hung





Article 2

Component-efficient multiphase switched-capacitor dC-dC converter with configurable conversion ratios for LCD driver applications
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 55, (8), 2008, AUG, p. 753-757
Su, Feng; Ki, Wing-Hung
Ultra fast fixed-frequency hysteretic buck converter with maximum charging current control and adaptive delay compensation for DVS applications
IEEE journal of solid-state circuits, v. 43, (4), 2008, APR, p. 815-822
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying

Conference paper 7

A 0.9V 0.35μm adaptively biased CMOS LDO regulator with fast transient response
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 51, 2008
Lam, Yat-Hei; Ki, Wing-Hung
An energy-adaptive MPPT power management unit for micro-power vibration energy harvesting
IEEE International Symposium on Circuits & Systems (ISCAS), 2008, p. 2570-2573
Yi, Jun; Su, Feng; Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying
An integrated reconfigurable SC power converter with hybrid gate control scheme for mobile display driver applications
Proceedings of 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008, 2008, p. 169-172
Su, Feng; Ki, Wing-Hung
An SC voltage regulator with novel area-efficient continuous output regulation by dual-branch interleaving control scheme
2008 IEEE SYMPOSIUM ON VLSI CIRCUITS, 2008, p. 109-110
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying
An SC voltage regulator with novel area-efficient continuous output regulation by dual-branch interleaving control scheme
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2008, p. 136-137
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying
Integrated single-inductor dual-input dual-output boost converter for energy harvesting applications
IEEE International Symposium on Circuits & Systems (ISCAS), 2008, p. 2218-2221
Sze, Ngok-Man; Su, Feng; Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying
Threshold voltage start-up boost converter for sub-mA applications
DELTA 2008: FOURTH IEEE INTERNATIONAL SYMPOSIUM ON ELECTRONIC DESIGN, TEST AND APPLICATIONS, PROCEEDINGS, 2008, p. 338-341
Sze, Ngok-Man; Ki, Wing-Hung; Tsui, Chi-Ying





Article 3

Analysis and Design Strategy of UHF Micro-Power CMOS Rectifiers for Micro-Sensor and RFID Applications
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 54, (1), January 2007, p. 153-166
Yi, Jun; Ki, Wing-Hung; Tsui, Chi-Ying
Design strategy for step-up charge pumps with variable integer conversion ratios
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 54, (5), 2007, MAY, p. 417-421
Su, Feng; Ki, Wing-Hung
Fast-transient PCCM switching converter with freewheel switching control
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 54, (9), 2007, SEP, p. 825-829
Ma, Dongsheng; Ki, Wing-Hung

Conference paper 6

A batteryless vibration-based energy harvesting system for ultra low power ubiquitous applications
Proceedings - IEEE International Symposium on Circuits and Systems, 2007, p. 1349-1352
Chao, Lu; Tsui, Chi-Ying; Ki, Wing-Hung
A Micro Power Management System and Maximum Output Power Control for Solar Energy Harvesting Applications
ISLPED'07: PROCEEDINGS OF THE 2007 INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN, 2007, p. 298-303
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung
A stable compensation scheme for low dropout regulator in the absence of ESR
ESSCIRC 2007 - Proceedings of the 33rd European Solid-State Circuits Conference, 2007, p. 416-419
Kwok, Tsz-Fai; Ki, Wing-Hung
An inductor-less micro solar power management system design for energy harvesting applications
IEEE International Symposium on Circuits & Systems (ISCAS), 2007, p. 1353-1356
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung
An ultra fast fixed frequency buck converter with maximum charging current control and adaptive delay compensation for DVS applications
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2007, p. 28-29
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying
Vibration Energy Scavenging and Management for Ultra Low Power Applications
ISLPED'07: PROCEEDINGS OF THE 2007 INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN, 2007, p. 316-321
Chao, Lu; Tsui, Chi-Ying; Ki, Wing-Hung





Article 2

A voltage-mode PWM buck regulator with end-point prediction
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 53, (4), 2006, APR, p. 294-298
Siu, Man; Mok, Philip Kwok Tai; Leung, Ka Nang; Lam, Yat Hei; Ki, Wing Hung
Integrated low-loss CMOS active rectifier for wirelessly powered devices
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 53, (12), 2006, DEC, p. 1378-1382
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying

Conference paper 6

A novel charge based computation system and control strategy for energy harvesting applications
Proceedings - IEEE International Symposium on Circuits and Systems, 2006, p. 2933-2936
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung
Adaptively-biased capacitor-less CMOS low dropout regulator with direct current feedback
ASP-DAC 2006: 11TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, PROCEEDINGS, 2006, p. 104-105
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying
An integrated 1.8V to 3.3V regulated voltage doubler using active diodes and dual-loop voltage follower for switch-capacitive load
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2006, p. 85-86
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying
High efficiency cross-coupled doubler with no reversion loss
IEEE International Symposium on Circuits & Systems (ISCAS), 2006, p. 2761-2764
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying
Integrated direct output current control switching converter using symmetrically-matched self-biased current sensors
ASP-DAC 2006: 11TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, PROCEEDINGS, 2006, p. 102-103
Lam, Yat-Hei; Koon, Suet-Chui; Ki, Wing-Hung; Tsui, Chi-Ying
Ultra-low voltage power management circuit and computation methodology for energy harvesting applications
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2006, 2006, p. 96-97
Tsui, Chi-Ying; Shao, Hui; Ki, Wing-Hung; Su, Feng





Article 1

A Programmable Integrated Digital Controller for Switching Converters with Dual-band Switching and Complex Pole-zero Compensation
IEEE Journal of Solid-state Circuits, v. 40, (3), 2005, MAR, p. 772-780
Chui, MYK; Ki, Wing Hung; Tsui, Chi Ying

Conference paper 5

Charge redistribution loss consideration in optimal charge pump design
IEEE International Symposium on Circuits & Systems (ISCAS), 2005, p. 1895-1898
Ki, WH; Su, F.; Tsui, CY
Gate control strategies for high efficiency charge pumps
IEEE International Symposium on Circuits & Systems (ISCAS), 2005, p. 1907-1910
Su, Feng; Ki, Wing Hung; Tsui, Chi Ying
Hypergraph: An alternative graphical model for computing transfer functions in circuits and systems
2005 International Conference on Communications, Circuits and Systems, v .1-2, 2005, p. 1353-1357
Au, Edward Kwok Sum; Mow, Wai Ho; Ki, Wing Hung
Integrated charge-control single-inductor dual-output step-up/step-down converter
Proceedings - IEEE International Symposium on Circuits and Systems, 2005, p. 3071-3074
Koon, Suet-Chui; Lam, Yat-Hei; Ki, Wing-Hung
Ultra-low voltage power management and computation methodology for energy harvesting applications
2005 Symposium on VLSI Circuits, Digest of Technical Papers, 2005, p. 316-319
Tsui, Chi Ying; Shao, Hui; Ki, Wing Hung; Su, Feng





Article 3

A novel current-mode sensing scheme for magnetic tunnel junction MRAM
IEEE transactions on magnetics, v. 40, 2, Part 1, March 2004, p. 483-488
Au, Edward Kwok Sum; Ki, Wing Hung; Mow, Wai Ho; Hung, ST; Wong, CY
A switched-current sensing architecture for a four-state per cell magnetic tunnel junction MRAM
IEEE TRANSACTIONS on Circuits and SYSTEMS i-regular Papers, v. 51, (11), November 2004, p. 2113-2122
Au, Edward Kwok Sum; Ki, Wing Hung; Mow, Wai Ho; Hung, ST; Wong, CY
An Integrated One-cycle Control Buck Converter with Adaptive Output and Dual Loops for Output Error Correction
IEEE journal of solid-state circuits, v. 39, (1), 2004, JAN, p. 140-149
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying

Conference paper 8

A binary-search switched-current sensing scheme for 4-state MRAM
Proceedings of the ACM Great Lakes Symposium on VLSI, Boston, MA, USA, April 2004
Au, Edward Kwok Sum; Ki, Wing-Hung; Mow, Wai Ho; Hung, S.T.; Wong, C.Y.
A CAD simulator based on loop gain measurement for switching converters
Proceedings - IEEE International Symposium on Circuits and Systems, v. 5, 2004, p.940-943
Ma, Dongsheng; Tam, V.H.S.; Ki, Wing-Hung; Lam, Hylas Y.H.
A dual-band switching digital controller for a buck converter
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, p. 561-562
Chui, M.Y.K.; Ki, Wing-Hung; Tsui, Chi-Ying
Fast adaptive DC-DC conversion using dual-loop one-cycle control in standard digital CMOS process
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004, p. 539-540
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying
Integrated 0.9V charge-control switching converter with self-biased current sensor
Midwest Symposium on Circuits and Systems, v. 2, 2004, p.305-308
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying; Ma, Dongsheng
Loop gain analysis and development of high-speed high-accuracy current sensors for switching converters
2004 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 5, PROCEEDINGS, 2004, p. 828-831
Lam, Hylas Yat Hei; Ki, Wing Hung; Ma, Dongsheng
Minimizing energy consumption of hard real-time systems with simultaneous tasks scheduling and voltage assignment using statistical data
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004, p. 663-665
Leung, Lay F.; Tsui, Chi Ying; Ki, Wing Hung
Minimizing Energy Consumption of Multiple-Processors-Core Systems with Simultaneous Tasks Allocation, Scheduling and Voltage Assignment
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004, p. 647-652
Leung, Lap F.; Tsui, Chi Ying; Ki, Wing Hung





Article 5

A 1-v 3.5-mw CMOS switched-opamp quadrature IF circuitry for Bluetooth receivers
IEEE journal of solid-state circuits, v. 38, (5), 2003, MAY, p. 805-816
Cheung, Vincent S.L.; Luong, Howard Cam; Chan, Man Sun; Ki, Wing Hung
A pseudo-CCM/DCM SIMO switching converter with freewheel switching
IEEE journal of solid-state circuits, v. 38, (6), 2003, JUN, p. 1007-1014
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying
An enhanced compact waffle MOSFET with low drain capacitance from a standard submicron CMOS technology
Solid-state electronics, v. 47, (5), 2003, MAY, p. 785-789
Lam, Sang; Mok, Philip Kwok Tai; Ki, Wing Hung; Ko, Ping Keung; Chan, Man Sun
Area-efficient CMOS charge pumps for LCD drivers
IEEE journal of solid-state circuits, v. 38, (10), 2003, OCT, p. 1721-1725
Ying, Tian Rui; Ki, Wing Hung; Chan, Man Sun
Single-inductor multiple-output switching converters with time-multiplexing control in discontinuous conduction mode
IEEE journal of solid-state circuits, v. 38, (1), January 2003, p. 89-100
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying; Mok, Philip Kwok Tai

Conference paper 5

An integrated digital controller for DC-DC switching converter with dual-band switching
2003 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS, 2003, p. 45-48
Chui, Yeung Kei; Ki, Wing Hung; Tsui, Chi Ying
Analog Integrated Circuit Design of a Hypertrellis Decoder
Parallel and Distributed Computing, Applications and Technologies, PDCAT Proceedings, 2003, p. 552-556
Hu, Zong-Qq; Mow, Wai Ho; Ki, Wing-Hung
High-sensitivity switched-current sensing circuit for magnetic tunnel junction MRAM
Proceedings of the 46th IEEE International Midwest Symposium on Circuits & Systems, Cairo, Egypt, 2003
Au, Edward Kwok Sum; Ki, Wing Hung; Mow, Wai Ho; Hung, ST; Wong, CY
Simultaneous task allocation, scheduling and voltage assignment for multiple-processors-core systems using mixed integer nonlinear programming
IEEE international symposium on circuits and systems location, Unknown, 2003
Leung, Lap Fai; Tsui, Chi Ying; Ki, Wing Hung
Single-inductor dual-input dual-output switching converter for integrated battery charging and power regulation
IEEE international symposium on circuits and systems location, Unknown, 2003
Lam, Yat Hei; Ki, Wing Hung; Tsui, Chi Ying; Mok, Philip Kwok Tai





Article 3

A 1.0-V V-DD CMOS active-pixel sensor with complementary pixel architecture and pulsewidth modulation fabricated with a 0.25-mu m CMOS process
IEEE journal of solid-state circuits, v. 37, (12), 2002, DEC, p. 1853-1859
Xu, Chao; Zhang, Wei Quan; Ki, Wing Hung; Chan, Man Sun
A 1-V 10.7-MHz switched-opamp, bandpass Sigma Delta modulator using double-sampling finite-gain-compensation technique
IEEE journal of solid-state circuits, v. 37, (10), 2002, OCT, p. 1215-1225
Cheung, Vincent S.L.; Luong, Howard Cam; Ki, Wing Hung
A low-voltage CMOS complementary active pixel sensor (CAPS) fabricated using a 0.25 mu m CMOS technology
IEEE electron device letters, v. 23, (7), 2002, JUL, p. 398-400
Xu, Chao; Ki, Wing Hung; Chan, Man Sun

Conference paper 10

A 1-V 3.5-mW CMOS switched-opamp quadrature IF circuitry for bluetooth receivers
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, (CIRCUITS SYMP.), 2002, p. 140-143
Cheung, Vincent S.L.; Luong, Howard Cam; Ki, Wing-Hung
A Fast Response Adaptive DC-DC Switching Converter using On-chip Dual-Loop One-cycle control
IEEE European Solid-State Circuit Conference, Firenze, Italy, September 2002
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying
A highly integrated CMOS image sensor architecture for low voltage applications with deep submicron process
Proceedings - IEEE International Symposium on Circuits and Systems, v. 3, 2002, p. 699-702
Xu, Chao; Zhang, Weiquan; Ki, Wing Hung; Chan, Man Sun
A pseudo-CCM / DCM SIMO switching converter with freewheel switching
IEEE International Solid-State Circuits Conference, San Francisco, USA, 2002
Ma, Dongsheng; Ki, Wing-Hung; Tsui, Chi-Ying
A pseudo-CCM/DCM SIMO switching converter with freewheel switching
IEEE International Solid-State Circuits Conference, San Francisco, USA, 2002
Ma, Dongsheng; Ki, Wing-Hung; Tsui, Chi-Ying
An Enhanced Compact Waffle MOSFET for RF Integrated Circuits
60th Annual Device Research Conference Digest, Santa Barbara, CA, USA, 24-26 June 2002, p. 73-74
Lam, Sang; Ki, Wing Hung; Ko, Ping Keung; Chan, Man Sun
Area efficient CMOS integrated charge pumps
Proceedings - IEEE International Symposium on Circuits and Systems, v. 3, 2002, p. 831-834
Ying, Tian Rui; Ki, Wing-Hung; Chan, Man Sun
Bi-directional integrated charge pumps
2002 IEEE International Symposium on circuits and systems, Scottsdale, Arizona, USA, 2002
Chan, Chit Sang; Ki, Wing Hung; Tsui, Chi Ying
Evaluation of the partially-depleted silicon-on-sapphire technology for microwave amplifiers and other prospective applications
2002 3RD INTERNATIONAL CONFERENCE ON MICROWAVE AND MILLIMETER WAVE TECHNOLOGY PROCEEDINGS, 17-19 Aug 2002, p. 171-174
Lam, Sang; Ki, Wing Hung; Shen, Chao; Ko, Ping Keung; Chan, Man Sun
High-isolation bonding pad with depletion-insulation structure for RF/microwave integrated circuits on bulk silicon CMOS
IEEE MTT-S International Microwave Symposium Digest, v. 2, 2002, p. 677-680
Lam, Sang; Ki, Wing Hung; Chan, Man Sun





Article 1

A 1-V CMOS switched-opamp switched-capacitor pseudo-2-path filter
IEEE journal of solid-state circuits, v. 36, (1), 2001, JAN, p. 14-22
Cheung, Vincent Sin Luen; Luong, Howard Cam; Ki, Wing Hung

Conference paper 10

A 1.8V single-inductor dual-output switching converter for power reduction techniques
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, Unknown, 2001
Ma, Dongsheng; Ki, Wing-Hung; Tsui, Chi-Ying; Mok, Philip Kwok Tai
A 1V 10.7MHz switched-opamp bandpass ∑Δ modulator using double- sampling finite-gain-compensation technique
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, 2001, p. 52-53+428
Cheung, Vincent Sin Luen; Luong, Howard Cam; Ki, Wing Hung
A single-inductor dual-output integrated DC/DC boost converter for variable voltage scheduling
THE ASP-DAC 2000: Asia and South Pacific design automation Conference, Taiwan, 2001
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying; Mok, Philip Kwok Tai
Characteristics of RF power amplifiers by 0.5μm SOS CMOS process
IEEE International SOI Conference, 2001, p. 141-142
Lam, Sang; Ki, Wing-Hung; Chan, Man Sun
Dual-loop feedback for fast low dropout regulators
PESC Record - IEEE Annual Power Electronics Specialists Conference, v. 3, 2001, p. 1265-1269
Chen, Wei; Ki, Wing-Hung; Mok, Philip Kwok Tai
Phase-controlled dimmable CFL with PPFC and switching frequency modulation
PESC Record - IEEE Annual Power Electronics Specialists Conference, v. 2, 2001, p. 951-956
Yau, Elson K.F.; Ki, Wing-Hung; Mok, Philip Kwok Tai; Sin, Johnny Kin On
Realization of compact MOSFET structure by waffle-layout
European Solid-State Device Research Conf., Nuremberg, Germany, 119-122
Lam, Sang; Ki, Wing-Hung; Kwok, Ka-Chun; Chan, Mansun
Single-inductor multiple-output switching converters with bipolar outputs
IEEE International Symposium on Circuits and Systems, Unknown, 2001
Ma, Dongsheng; Ki, Wing-Hung; Mok, Philip Kwok Tai; Tsui, Chi-Ying
Switched-capacitor power converters with integrated low dropout regulators
Proceedings - IEEE International Symposium on Circuits and Systems, v. 3, 2001, p. 293-296
Chen, Wei; Ki, Wing-Hung; Mok, Philip Kwok Tai; Chan, Man Sun
The silicon-on-sapphire technology for RF integrated circuits: Potential and limitations
IEEE Region 10 International Conference on Electrical and Electronic Technology, 2001, p. 483-486
Lam, Sang; Ki, Wing Hung; Chan, Man Sun





Article 2

Signal flow graph analysis of feedback amplifiers
IEEE TRANSACTIONS on Circuits and SYSTEMS i-fundamental THEORY and APPLICATIONS, v. 47, (6), 2000, JUN, p. 926-933
Ki, Wing Hung
Three-stage large capacitive load amplifier with damping-factor-control frequency compensation
IEEE journal of solid-state circuits, v. 35, (2), 2000, FEB, p. 221-230
Leung, Ka Nang; Mok, Philip Kwok Tai; Ki, Wing Hung; Sin, Johnny Kin On

Conference paper 5

A 1V CMOS switched-opamp switched-capacitor pseudo-2-path filter
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, 2000, p. 154-155
Cheung, Vincent Sin Luen; Luong, Howard Cam; Ki, Wing-Hung
A novel voltage-control scheme for low-voltage DC-DC converters with fast transient recovery
Proceedings of the IEEE 2000 Internaitonal Symposium on Circuits and Systems, v. 1, May 2000, p. 256-259
Lee, Hoi; Mok, Philip Kwok Tai; Ki, Wing Hung
Analysis on an alternative structure of damping-factor-control frequency compensation
ISCAS 2000: IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS - PROCEEDINGS, VOL II: EMERGING TECHNOLOGIES FOR THE 21ST CENTURY, 2000, p. 545-548
Leung, Ka Nang; Mok, Philip Kwok Tai; Ki, Wing Hung; Sin, Johnny Kin On
IC controller for phase-controlled dimmable compact fluorescent lamps with closed-loop control
Proceedings - IEEE International Symposium on Circuits and Systems, v. 1, 2000, p. 503-506
Chan, Wilson W.S.; Mok, Philip Kwok Tai; Ng, Alex T.K.; Ki, Wing-Hung; Sin, Johnny Kin On
Lamp Modeling for Design of Dimmable Electronic Ballasts
IEEE Power Electronics Specialists Conference (PESC), v. 3, 2000, p. 1358-1362
Ng, Tai Kai; Ki, Wing-Hung; Mok, Philip Kowk Tai; Sin, Johnny Kin On





Conference paper 6

A novel frequency compensation technique for low-voltage low-dropout regulator
ISCAS '99: PROCEEDINGS OF THE 1999 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 5: SYSTEMS, POWER ELECTRONICS, AND NEURAL NETWORKS, 1999, p. 102-105
Leung, Ka Nang; Mok, Philip Kwok Tai; Ki, Wing Hung
Analysis and measurement of DCM power factor correctors
IEEE Power Electronics Specialists Conference (PESC), v. 2, 1999, p. 709-714
Fung, Kin-Siu; Ki, Wing-Hung; Mok, Philip Kwok Tai
Damping-factor-control frequency compensation technique for low-voltage low-power large capacitive load applications
1999 IEEE International Solid-State Circuits Conference, 1999. Digest of Technical Papers. ISSCC, San Francisco, CA, USA, 17 Feb 1999, p. 158-159
Leung, Ka Nang; Mok, Philip Kwok Tai; Ki, Wing Hung; Sin, Johnny Kin On
Optimum nested Miller compensation for low-voltage low-power CMOS amplifier design
ISCAS '99: PROCEEDINGS OF THE 1999 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 2: ANALOG AND DIGITAL CIRCUITS, 1999, p. 616-619
Leung, Ka Nang; Mok, Philip Kwok Tai; Ki, Wing Hung
Phase-controlled dimmable electronic ballast for fluorescent lamps
IEEE Power Electronics Specialists Conference (PESC), v. 2, 1999, p. 1121-1125
Ki, Wing-Hung; Shi, Jiying; Yau, Elson; Mok, Philip Kwok Tai; Sin, Johnny Kin On
Right-half-plane Zero Removal Technique for Low-voltage Low-power Nested Miller Compensation CMOS Amplifier
Proceedings of the IEEE International Conference on Electronics, Circuits, and Systems, v. 2, 1999, p. 599-602, article number 813179
Leung, Ka Nang; Mok, Philip Kwok Tai; Ki, Wing Hung





Article 2

Analysis of subharmonic oscillation of fixed-frequency current-programming switch mode power converters
IEEE TRANSACTIONS on Circuits and SYSTEMS i-fundamental THEORY and APPLICATIONS, v. 45, (1), 1998, JAN, p. 104-108
Ki, Wing Hung
Signal flow graph in loop gain analysis of DC-DC PWM CCM switching converters
IEEE TRANSACTIONS on Circuits and SYSTEMS i-fundamental THEORY and APPLICATIONS, v. 45, (6), 1998, JUN, p. 644-655
Ki, Wing Hung





Article 1

Re-examination of pole splitting of a generic single stage amplifier
IEEE TRANSACTIONS on Circuits and SYSTEMS i-fundamental THEORY and APPLICATIONS, v. 44, (1), 1997, JAN, p. 70-74
Ki, Wing Hung; Der, Lawrence; Lam, Steve

Conference paper 2

New universal control methods for power factor correction and DC to DC converter applications
IEEE Applied Power Electronics Conference and Exposition - APEC, v.1, 1997, Feb, p.59-65
Hwang, Jeffery; Chee, Alland; Ki, Wing-Hung
Simple method to design resonant circuits of electronic ballast for fluorescent lamps
Proceedings - IEEE International Symposium on Circuits and Systems, v. 3, 1997, p. 1744-1747
Li, Zhi; Mok, Philip Kwok Tai; Ki, Wing-Hung; Sin, Johnny Kin On





Article 1

Optimal capacitance assignment of switched-capacitor biquads
IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, v.42, (6), 1995, Jun, p.334-342
Ki, Wing-Hung; Temes, Gabor C.





Article 1

Analog VLSI implementations of auditory wavelet transforms using switched-capacitor circuits
IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, v.41, (9), 1994, Sep, p.572-583
Lin, Jyhfong; Ki, Wing-Hung; Edwards, Thomas; Shamma, Shihab





Conference paper 3

Area-efficient gain- and offset-compensated very-large-time-constant SC biquads
IEEE International Symposium on Circuits and Systems, May 1992, p.1187-1190
Ki, Wing-Hung; Temes, G.C.
Cochlear filters design using a parallel dilating-biquads switched-capacitor filter bank
1992 IEEE International Symposium on Circuits and Systems, 1992. ISCAS '92. Proceedings, San Diego, CA, USA, v. 4, 3-6 May 1992, p. 2053-2056
Lin, Jyhfong; Ki, Wing-Hung; Thompson, K.; Shamma, Shihab
Realization of cochlear filter by VLT switched-capacitor biquads
IEEE Int'l Conf. of Acou., Speech, and Sig. Proc., March, 1992, p.II.245-II.248
Lin, Jyhfong; Ki, Wing-Hung; Thompson, K.; Shamma, Shihab





Conference paper 1

Gain- and offset-compensated switched-capacitor filters
IEEE International Symposium on Circuits and Systems, v. 3, 1991, p.1561-1564
Ki, Wing-Hung; Temes, Gabor C.





Article 1

Low-phase-error offset-compensated switched-capacitor integrator
Electronics Letters, v.26, (13), 1990, Jan, p.957-959
Ki, Wing-Hung; Temes, Gabor C.

Conference paper 1

Offset-compensated switched-capacitor integrators
IEEE International Symposium on Circuits and Systems, v. 4, 1990, p.2829-2832
Ki, Wing-Hung; Temes, Gabor C.





Article 1

Switched-capacitor modulator circuits
Electronics Letters, v.25, (6), 1989, Mar, p.379-381
Ki, Wing-Hung; Temes, Gabor C.





Article 1

Fast CMOS current amplifier and buffer stage
Electronics Letters, v.23, (13), 1987, Jun, p. 696-697
Temes, Gabor C.; Ki, Wing-Hung





2016 13

Adaptive On/Off Delay-Compensated Active Rectifiers for Wireless Power Transfer Systems
IEEE Journal of Solid-State Circuits, v. 51, (3), March 2016, article number 7403843, p. 712-723
Cheng, Lin; Ki, Wing Hung; Lu, Yan; Yim, Tak Sang Article
An Efficiency-Enhanced Hybrid Supply Modulator With Single-Capacitor Current-Integration Control
IEEE Journal of Solid-State Circuits, v. 51, (2), February 2016, article number 7328251, p. 533-542
Tan, Min; Ki, Wing Hung Article
An Implantable Medical Device for Transcorneal Electrical Stimulation: Packaging Structure, Process Flow, and Toxicology Test
IEEE Transactions on Components, Packaging and Manufacturing Technology, v. 6, (8), Aug 2016, article number 7509610, p. 1174-1180
Le, Fuliang; Lo, Chi Chuen; Qiu, Xing; Lee, Shi-wei; Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Article
An NMOS-LDO Regulated Switched-Capacitor DC-DC Converter With Fast-Response Adaptive-Phase Digital Control
IEEE Transactions on Power Electronics, v. 31, (2), February 2016, p. 1294-1303
Lu, Yan; Ki, Wing Hung; Yue, Chik Patrick Article
Analysis and Design Considerations of Integrated 3-Level Buck Converters
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 63, (5), May 2016, article number 7469385, p. 671-682
Liu, Xun; Mok, Philip Kwok Tai; Jiang, Junmin; Ki, Wing Hung Article
Limit Cycle Oscillation Reduction for Digital Low Dropout Regulators
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 63, (9), September 2016, article number 7419894, p. 903-907
Huang, Mo; Lu, Yan; Sin, Saiweng; Seng, Pan; Martins, Rui Paulo; Ki, Wing-Hung Article
A 0.035mm2 150mA Fast-Response Low-Dropout Regulator Based on Matching-Enhanced Error Amplifier and Multi-Threshold-Controlled Unity-Gain Buffer in 0.13-μm CMOS
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7539151, p. 2703-2706
Zhan, Chenchang; Ki, Wing Hung; Zheng, Jiawei; Liu, Yonggen Conference paper
A 6.78MHz 6W Wireless Power Receiver with a 3-Level 1x / 1/2 x / 0x Reconfigurable Resonant Regulating Rectifier
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 59, February 2016, article number 7418064, p. 376-377
Cheng, Lin; Ki, Wing-Hung; Wong, Tatto; Yim, Taksang; Tsui, Chiying Conference paper
A Digitally-controlled 2-/3-phase 6-ratio Switched- capacitor DC-DC Converter with Adaptive Ripple Reduction and Efficiency Improvements
European Solid-State Circuits Conference, v. 2016-October, November 2016, article number 7598336, p. 441-444
Jiang, Junmin; Lu, Yan; Ki, Wing Hung Conference paper
A Generic Model for Constructing Three-Stage Amplifiers
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7527278, p. 466-469
Tan, Min; Ki, Wing Hung Conference paper
A Low-Power Chopper Bandpass Amplifier for Biopotential Sensors
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7527230, p. 301-304
Zheng, Jiawei; Ki, Wing Hung; Tsui, Chi Ying Conference paper
A WLAN 2.4-GHz RF Energy Harvesting System With Reconfigurable Rectifier For Wireless Sensor Network
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7539059, p. 2362-2365
Zeng, Zizhen; Li, Xing; Bermak, Amine; Tsui, Chi Ying; Ki, Wing Hung Conference paper
An Indoor Solar Energy Harvesting System Using Dual Mode SIDO Converter with Fully Digital Time-Based MPPT
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7539057, p. 2354-2357
Meng, Xiaodong; Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Conference paper

2015 16

A 13.56 MHz Wireless Power Transfer System With Reconfigurable Resonant Regulating Rectifier and Wireless Power Control for Implantable Medical Devices
IEEE Journal of Solid-State Circuits, v. 50, (4), April 2015, article number 7035125, p. 978-989
Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Article
A 30-Gb/s 1.37-pJ/b CMOS Receiver for Optical Interconnects
Journal of Lightwave Technology, v. 33, (4), February 2015, article number 6985584, p. 778-786
Pan, Quan; Wang, Yipeng; Hou, Zhengxiong; Sun, Li; Lu, Yan; Ki, Wing-Hung; Chiang, Patrick; Yue, Chik Patrick Article
A Cascode Miller-Compensated Three-Stage Amplifier With Local Impedance Attenuation for Optimized Complex-Pole Control
IEEE Journal of Solid-State Circuits, v. 50, (2), February 2015, p. 440-449
Tan, Min; Ki, Wing-Hung Article
A Fully-Integrated Low-Dropout Regulator With Full-Spectrum Power Supply Rejection
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 62, (3), March 2015, p. 707-716
Lu, Yan; Wang, Yipeng; Pan, Quan; Ki, Wing Hung; Yue, Patrick C. Article
On-Chip Compensated Wide Output Range Boost Converter with Fixed-Frequency Adaptive Off-Time Control for LED Driver Applications
IEEE Transactions on Power Electronics, v. 30, (4), April 2015, article number 6945409, p. 2096-2107
Cheng, Lin; Ni, Jinhua; Qian, Yao; Zhou, Minchao; Ki, Wing-Hung; Liu, Bill Yang; Li, Grant; Hong, Zhiliang Article
Power Management Analysis of Inductively-Powered Implants with 1X/2X Reconfigurable Rectifier
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 62, (3), March 2015, article number 6977994, p. 617-624
Li, Xing; Tsui, Chi-Ying; Ki, Wing-Hung Article
Reconfigurable Resonant Regulating Rectifier With Primary Equalization for Extended Coupling- and Loading-Range in Bio-Implant Wireless Power Transfer
IEEE Transactions on Biomedical Circuits and Systems, v. 9, (6), December 2015, p. 875-884
Li, Xing; Meng, Xiaodong; Tsui, Chi Ying; Ki, Wing Hung Article
A 123-Phase DC-DC Converter-Ring with Fast-DVS for Microprocessors
2015 IEEE International Solid-state Circuits Conference: Digest of Technical Papers, Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 364-365
Lu, Yan; Jiang, Junmin; Ki, Wing-Hung; Yue, Chik Patrick; Sin, Sai-Weng; U, Seng-pan; Martins, Rui Paulo Conference paper
A 12A 50V Half-bridge Gate Driver for Enhancement-mode GaN HEMTs with Digital Dead-time Correction
2015 IEEE International Symposium on Circuits and Systems (ISCAS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 1750-1753
Chen, Ziang; Wong, Yat To; Yim, Tak Sang; Ki, Wing Hung Conference paper
A 2-/3-Phase Fully Integrated Switched-Capacitor DC-DC Converter in Bulk CMOS for Energy-Efficient Digital Circuits with 14% Efficiency Improvement
2015 IEEE International Solid-State Circuits Conference (ISSCC 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 366-367
Jiang, Junmin; Lu, Yan; Huang, Cheng; Ki, Wing-Hung; Mok, Philip Kwok Tai Conference paper
A Fully Integrated IEEE 802.15.7 Visible Light Communication Transmitter with On-chip 8-W 85% Efficiency Boost LED Driver
2015 Symposium on VLSI Circuits (VLSI Circuits 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. C216-C217
Hussain, Babar; Che, Fengyu; Zhang, Feng; Yim, Tak-Sang; Cheng, Lin; Ki, Wing-Hung; Yue, Patrick Chik; Wu, Liang Conference paper
Analysis of Two-phase On-chip Step-down Switched Capacitor Power Converters
2014 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS 2014), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 575-578
Jiang, Junmin; Lu, Yan; Ki, Wing-Hung Conference paper
Efficient wireless power transmission technology based on above-CMOS integrated (ACI) high quality inductors
2014 IEEE International Electron Devices Meeting (IEDM), February 2015, article number 7047038, p. 12.4.1-12.4.4
Raju, Salahuddin; Li, Xing; Lu, Yan; Tsui, Chi Ying; Ki, Wing Hung; Chan, Mansun; Yue, Chik Patrick Conference paper
Fluxless Packaging of an Implantable Medical Device for Transcorneal Electrical Stimulation
Proceedings of the Electronic Packaging Technology Conference, EPTC, v. 2016-February, February 2016, article number 7412282
Le, Fuliang; Lo, Chi Chuen; Qiu, Xing; Lee, Shi-wei; Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Conference paper
UHF energy harvesting system using reconfigurable rectifier for wireless sensor network
2015 IEEE International Symposium on Circuits and Systems (ISCAS), July 2015, article number 7168578, p. 93-96
Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Wireless Power Transfer System Using Primary Equalizer for Coupling- and Load-Range Extension in Bio-Implant Applications
2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 228-229
Li, Xing; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper

2014 18

A 10/30 MHz Fast Reference-Tracking Buck Converter with DDA-Based Type-III Compensator
IEEE Journal of Solid-State Circuits, v. 49, (12), December 2014, article number 6888536, p. 2788-2799
Cheng, Lin; Liu, Yonggen; Ki, Wing Hung Article
A 13.56 MHz CMOS Active Rectifier With Switched-Offset and Compensated Biasing for Biomedical Wireless Power Transfer Systems
IEEE Transactions on Biomedical Circuits and Systems, v. 8, (3), 2014, article number 6552222, p. 334-344
Lu, Yan; Ki, Wing-Hung Article
A Novel Single-Inductor Dual-Input Dual-Output DC-DC Converter With PWM Control for Solar Energy Harvesting System
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (8), 2014, article number 6595148, p. 1693-1704
Shao, Hui; Li, Xing; Tsui, Chi-Ying; Ki, Wing-Hung Article
Analysis and Design of Output-Capacitor-Free Low-Dropout Regulators With Low Quiescent Current and High Power Supply Rejection
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 61, (2), February 2014, article number 6717040, p. 625-636
Zhan, Chenchang; Ki, Wing-Hung Article
17.11 A 0.65ns-response-time 3.01ps FOM Fully-integrated Low-dropout Regulator with Full-spectrum Power-supply-rejection for Wideband Communication Systems
2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), Institute of Electrical and Electronics Engineers (IEEE), 2014, p. 306-307
Lu, Yan; Ki, Wing-Hung; Yue, Chik Patrick Conference paper
4.4 A 10/30MHz Wide Duty Cycle Range Buck Converter with DDA-based Type-III Compensator and Fast Reference-tracking Responses for DVS Applications
2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), Institute of Electrical and Electronics Engineers (IEEE), 2014
Cheng, Lin; Liu, Yonggen; Ki, Wing Hung Conference paper
A 13.56MHz Wireless Power Transfer System with Reconfigurable Resonant Regulating Rectifier and Wireless Power Control for Implantable Medical Devices
28th IEEE Symposium on VLSI Circuits Digest of Technical Papers, Institute of Electrical and Electronics Engineers (IEEE), 2014
Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Conference paper
A 3-mW 25-Gb/s CMOS Transimpedance Amplifier with Fully Integrated Low-dropout Regulator for 100GbE Systems
Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium, 2014, article number 6851718, p. 275-278
Wang, Yipeng; Lu, Yan; Pan, Quan; Hou, Zhengxiong; Wu, Liang; Ki, Winghung; Yue, Chik Patrick Conference paper
A 41-mW 30-Gb/s CMOS optical receiver with digitally-tunable cascaded equalization
European Solid-State Circuits Conference, v. 2014, article number 6942038, p. 127-130
Pan, Quan; Wang, Yipeng; Hou, Zhengxiong; Sun, Li; Wu, Liang; Ki, Wing Hung; Chiang, Patrick; Yue, Chik Patrick Conference paper
A 48-mW 18-Gb/s Fully Integrated CMOS Optical Receiver with Photodetector and Adaptive Equalizer
2014 Symposium on VLSI Circuits (VLSI-Circuits 2014), Institute of Electrical and Electronics Engineers (IEEE), 2014
Pan, Quan; Hou, Zhengxiong; Wang, Yipeng; Lu, Yan; Ki, Wing Hung; Wang, Keh Chung; Yue, Chik Patrick Conference paper
A 4μA quiescent current output-capacitor-free low-dropout regulator with fully differential input stage
Proceedings of IEEE International Symposium on Circuits and Systems, v. 2014, 2014, article number 6865670, p. 2457-2460
Tan, Min; Zhan, Chenchang; Ki, Wing Hung Conference paper
A circuit-oriented geometrical approach in predicting subharmonic oscillation of dc-dc converters with voltage-mode control
Proceedings of IEEE International Symposium on Circuits and Systems, v. 2014, 2014, article number 6865297, p. 962-965
Cheng, Lin; Ki, Wing Hung Conference paper
A Fast Transient Output-Capacitor-Free Low-Dropout Regulator with Class-AB Control Stage
2014 IEEE International Conference on Electron Devices and Solid-State Circuits, EDSSC 2014, March 2014, article number 7061244
Tan, Min; Ki, Wing-Hung Conference paper
A Low-dropout Regulator with Power Supply Rejection Improvement by Bandwidth-zero Tracking
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS, v. 2015-February, (February), February 2015, article number 7032730, p. 105-108
Lu, Yan; Yao, Ruo He; Huang, Da Qiang; Su, Julien; Jiang, Junmin; Ki, Wing-Hung Conference paper
An Adaptive Wireless Powering and Data Telemetry System for Optic Nerve Stimulation
2014 IEEE International Symposium on Circuits and Systems (ISCAS 2014), Institute of Electrical and Electronics Engineers (IEEE), 2014, p. 1404-1407
Li, Xing; Lu, Yan; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
Fast-transient-response high-PSR low-dropout regulator based on ultra-fast error amplifier and unity-gain buffer for portable applications
Proceedings of IEEE International Symposium on Circuits and Systems, v. 2014, 2014, article number 6865283, p. 906-909
Liu, Yonggen; Zhan, Chenchang; Ki, Wing Hung Conference paper
Load-transient enhanced low-dropout regulator based on buffer stage with paralleled current and voltage paths for low-ESR applications
2014 IEEE International Conference on Electron Devices and Solid-State Circuits, EDSSC 2014, March 2014, article number 7061245
Liu, Yonggen; Zhan, Chenchang; Zheng, Jiawei; Ki, Wing-Hung Conference paper
Through Silicon Underfill Dispensing for 3D Die/Interposer Stacking
Proceedings - Electronic Components and Technology Conference, September 2014, article number 6897397, p. 919-924
Le, Fuliang; Lee, Ricky Shi-wei; Lau, Kei May; Yue, Chik Patrick; Sin, Johnny Kin On; Mok, Philip Kwok Tai; Ki, Wing Hung; Choi, Hoi Wai Conference paper

2013 9

A +/- 0.5% Precision On-Chip Frequency Reference With Programmable Switch Array for Crystal-Less Applications
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 60, (10), 2013, article number 6584791, p. 642-646
Lu, Yan; Yuan, Gang; Der, Lawrence; Ki, Wing-Hung; Yue, Chik Patrick Article
Energy Harvesting and Power Delivery for Implantable Medical Devices
Foundations and trends in electronic design automation, v. 7, (3), August 2013, p. 179-246
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung Article
Energy Harvesting and Power Delivery for Implantable Medical Devices
Foundations and Trends? in Electronic Design Automation (Book 22) / [Authors]: Chi-Ying Tsui, Xing Li, Wing-Hung Ki. Boston - Delft : Now Publishers Inc, 2013, p. 1-82
Tsui, Chi Ying; Li, Xing; Ki, Wing Hung Book chapter
A 13.56MHz fully integrated 1X/2X active rectifier with compensated bias current for inductively powered devices
Digest of Technical Papers - 2013 60th IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 17 February 2013 - 21 February 2013
Lu, Yan; Li, Xing; Ki, Wing-Hung; Tsui, Chiying; Yue, Patrick Chik Conference paper
Cost-effective and Eco-friendly LED System-on-a-Chip (SoC)
2013 10th China International Forum on Solid State Lighting, ChinaSSL 2013, 2013, article number 7177356, p. 235-238
Lau, Kei May; Choi, Hoiwai; Ki, Wing-Hung; Lee, Ricky Shi Wei; Mok, Philip Kwok Tai; Sin, Johnny Kin On; Yue, Chik Patrick Conference paper
Current-Mirror Miller Compensation: An Improved Frequency Compensation Technique for Two-Stage Amplifiers
International Symposium on VLSI Design Automation and Test, v. 2013, 2013, article number 6533876
Tan, Min; Ki, Wing-Hung Conference paper
High-Side NMOS Power Switch and Bootstrap Driver for High-Frequency Fully-Integrated Converters with Enhanced Efficiency
2013 IEEE International Symposium on Circuits and Systems (ISCAS 2013), Institute of Electrical and Electronics Engineers (IEEE), 2013, p. 693-696
Huang, Cheng; Cheng, Lin; Mok, Philip Kwok Tai; Ki, Wing Hung Conference paper
Session 21 overview: Power converters
2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers, San Francisco, CA, USA, 17-21 Feb 2013, p. 360-361
Ki, Wing Hung; Berkhout, Marco Conference paper
Split-output Miller-compensated Two-stage Amplifiers
2013 IEEE International Conference of Electron Devices and Solid-State Circuits (EDSSC 2013), Institute of Electrical and Electronics Engineers (IEEE), 2013
Tan, Min; Ki, Wing Hung Conference paper

2012 13

An Output-Capacitor-Free Adaptively Biased Low-Dropout Regulator With Subthreshold Undershoot-Reduction for SoC
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 59, May 2012, p. 1119-1131
Zhan, Chenchang; Ki, Wing-Hung Article
Introduction to the Special Issue on the 2012 IEEE International Solid-State Circuits Conference
IEEE Journal of Solid-State Circuits, v. 47, December 2012, p. 2859-2864
Corsi, Marco; Andreani, Pietro; Ki, Wing-Hung; Chien, George; Kenney, Jack Article
Output Capacitor Free Low Dropout Regulators: Analysis and Design
Output Capacitor Free Low Dropout Regulators: Analysis and Design / Chenchang Zhan, Wing-Hung Ki. German : LAP LAMBERT Academic Publishing, 2012
Zhan, Chenchang; Ki, Wing-Hung Book
A 10/30MHz PWM Buck Converter with an Accuracy-Improved Ramp Generator
2012 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS (APCCAS), Kaohsiung, Taiwan, 2012, p. 420-423
Liu, Yonggen; Zhan, Chenchang; Cheng, Lin; Ki, Wing-Hung Conference paper
A Chip-Area-Efficient CMOS Low-Dropout Regulator Using Wide-Swing Voltage Buffer with Parabolic Adaptive Biasing for Portable Applications
2012 IEEE Asian Solid State Circuits Conference (A-SSCC), 2012, article number 6522668, p. 233-236
Liu, Yonggen; Zhan, Chenchang; Cheng, Lin; Ki, Wing Hung Conference paper
A Comparative Study of Hysteretic Voltage-Mode Buck Converters for High Switching Frequency and High Accuracy
2012 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS (APCCAS), 2012, p. 57-60
Lai, King-Man; Zhan, Chenchang; Ki, Wing-Hung Conference paper
A fast-transient-response hybrid buck converter with automatic and nearly-seamless loop transition for portable applications
Proceedings of IEEE European Solid-State Circuits Conference, Bordeaux, France, 2012, p. 165-168
Liu, Yonggen; Zhan, Chenchang; Ki, Wing Hung Conference paper
A new charge pump analysis and efficiency optimization method for on-chip charge pump
2012 IEEE Faible Tension Faible Consommation, FTFC 2012, Paris, Fance, 2012
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung Conference paper
Analysis and design strategy of on-chip charge pumps for micro-power energy harvesting applications
IFIP Advances in Information and Communication Technology, v. 379, 2012, p. 158-186
Ki, Wing-Hung; Lu, Yan; Su, Feng; Tsui, Chi-Ying Conference paper
Continuous-Time Common-Mode Feedback Detection Circuits with Enhanced Detection Accuracy
2012 IEEE INTERNATIONAL CONFERENCE ON ELECTRON DEVICES AND SOLID STATE CIRCUIT (EDSSC), Bangkok, Thailand, 2012
Liu, Yonggen; Zhan, Chenchang; Yim, Tak Sang; Ki, Wing-Hung Conference paper
Input-Adaptive Dual-Output Power Management Unit for Energy Harvesting Devices
Midwest Symposium on Circuits and Systems, 2012, p. 1080-1083
Lu, Yan; Ki, Wing-Hung; Yue, C. Patrick Conference paper
Session 5 overview: Audio and power converters: Analog subcommittee
2012 IEEE International Solid-State Circuits Conference, San Francisco, CA, 19-23 Feb 2012, p. 90-91
Ki, Wing Hung; Hurwitz, Jed Conference paper
Solar energy harvesting system design using re-configurable charge pump
2012 IEEE Faible Tension Faible Consommation ,Paris, France, 2012, June, p. 1-4, Article number 6231747
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung Conference paper

2011 9

A low dropout regulator with low quiescent current and high power supply rejection over wide range of frequency for SOC
Journal of circuits, systems, and computers, 20, (1), February 2011, p. 1-13
Zhan, Chenchang; Ki, Wing-Hung Article
Charge Balance Analysis and State Transition Analysis of Hysteretic Voltage Mode Switching Converters
IEEE transactions on circuits and systems. I, Regular papers, v. 58, (5), May 2011, p. 1142-1153
Ki, Wing-Hung; Lai, King-Man; Zhan, Chenchang Article
Vibration Energy Scavenging System With Maximum Power Tracking for Micropower Applications
IEEE transactions on very large scale integration (VLSI) systems, v. 19, (11), November 2011, p. 2109-2119
Lu, Chao; Tsui, Chi-Ying; Ki, Wing-Hung Article
A 13.56MHz CMOS rectifier with switched-offset for reversion current control
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2011, p. 246-247
Lu, Yan; Ki, Wing-Hung; Yi, Jun Conference paper
An adaptive multi-stage rectifier for RF energy harvesting applications
VLSI SoC, Hong Kong, 2011
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung Conference paper
An Adaptively Biased Low-Dropout Regulator with Transient Enhancement
2011 16TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC), 2011
Zhan, Chenchang; Ki, Wing-Hung Conference paper
An Output-Capacitor-Free Adaptively Biased Low-Dropout Regulator With Sub-Threshold Undershoot-Reduction for SoC
IEEE International Symposium on Circuits & Systems (ISCAS), 2011, p. 45-48
Zhan, Chenchang; Ki, Wing-Hung Conference paper
Design and analysis of on-chip charge pumps for micro-power energy harvesting applications
2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, VLSI-SoC 2011, 2011, p. 374-379
Ki, Wing-Hung; Lu, Yan; Su, Feng; Tsui, Chi-Ying Conference paper
ES6: Technologies for smart grid and smart meter
2011 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, CA, 20-24 Feb 2011, p. 533
Jeremy, Hurwitz; Ki, Wing Hung Conference paper

2010 12

A system-on-chip EPC Gen-2 passive UHF RFID tag with embedded temperature sensor
IEEE Journal of Solid-State Circuits, v. 45, (11), 2010, p. 2404-2420
Yin, Jun; Yi, Jun; Law, Man Kay; Ling, Yunxiao; Lee, Man Chiu; Ng, Kwok Ping; Gao, Bo; Luong, Howard; Bermak, Amine; Chan, Man Sun; Ki, Wing-Hung; Tsui, Chiying; Yuen,Matthew Ming Fai Article
CMOS Bandgap References With Self-Biased Symmetrically Matched Current-Voltage Mirror and Extension of Sub-1-V Design
IEEE TRANSACTIONS on very LARGE SCALE INTEGRATION (vlsi) SYSTEMS, v. 18, (6), 2010, p. 857-865
Lam, Yat-Hei; Ki, Wing-Hung Article
Engineering Outreach: A Successful Initiative With Gifted Students in Science and Technology in Hong Kong
IEEE transactions on education, v. 53, (1), 2010, FEB, p. 158-171
Chan, Yuen-Yan; Hui, Diane; Dickinson, Anthony R.; Chu, Dennis; Cheng, David Ki-Wai; Cheung, Edward; Ki, Wing-Hung; Lau, Wing-Hong; Wong, Jasper; Lo, Edward W.C.; Luk, Kwai-Man Article
Output-Capacitor-Free Adaptively Biased Low-Dropout Regulator for System-on-Chips
IEEE TRANSACTIONS on Circuits and SYSTEMS i-regular Papers, v. 57, (5, Sp. Iss. SI), 2010, MAY, p. 1017-1028
Zhan, Chenchang; Ki, Wing-Hung Article
A 25MHz sign and magnitude converter for analog current mode iterative decoders
Proceedings of the 2010 IEEE Asia Pacific Conference on Circuit and System (APCCAS), 2010, p. 220-223
Lo, Ming Yam; Ki, Wing Hung; Mow, Wai Ho Conference paper
A single inductor DIDO DC-DC converter for solar energy harvesting applications using band-band control
Proceedings of the 2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC 2010, 2010, p. 167-172
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
A system-on-chip EPC Gen-2 passive UHF RFID tag with embedded temperature sensor
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 53, 2010, p. 308-309
Yin, Jun; Yi, Jun; Law, Man Kay; Ling, Yunxiao; Lee, Man Chiu; Ng, Kwok Ping; Gao, Bo; Luong, Howard C.; Bermak, Amine; Chan, Man Sun; Ki, Wing-Hung; Tsui, Chi-Ying; Yuen, Matthew Ming-Fai Conference paper
An output-capacitor-free cascode low-dropout regulator with low quiescent current and high power supply rejection
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS, 2010, p. 472-475
Zhan, Chenchang; Ki, Wing-Hung Conference paper
Freewheel duration adjustment circuits for charge-control single-inductor dual-output switching converters
ISCAS 2010 - 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems, 2010, p. 2722-2725
Kwan, Kwok-To; Ki, Wing-Hung Conference paper
Maximizing the Harvested Energy for Micro-power Applications through Efficient MPPT and PMU Design
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2010, p. 75-80
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
Output-Capacitor-Free Adaptively Biased Low-Dropout Regulators
2010 IEEE International Conference of Electron Devices and Solid-State Circuits, EDSSC 2010, 2010
Zhan, Chenchang; Ki, Wing-Hung Conference paper
System Level Power Optimizations for EPC RFID Tags to Improve Sensitivity Using Load Power Shaping and Operation Scheduling
IEEE International Symposium on Circuits and Systems, Paris, May 30- June 2, pp 3012-3015
Ling, Yunxiao; Yi, Jun; Tsui, Chi Ying; Ki, Wing Hung Conference paper

2009 11

Regulated Switched-Capacitor Doubler With Interleaving Control for Continuous Output Regulation
IEEE journal of solid-state circuits, v. 44, (4), 2009, APR, p. 1112-1120
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Article
The Design of a Micro Power Management System for Applications Using Photovoltaic Cells With the Maximum Output Power Control
IEEE TRANSACTIONS on very LARGE SCALE INTEGRATION (vlsi) SYSTEMS, v. 17, (8), 2009, AUG, p. 1138-1142
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Article
A 20MHz switched-current sample-and-hold circuit for current mode analog iterative decoders
Proceedings of the 2009 12th International Symposium on Integrated Circuits, 2009, p. 283-286
Lo, Ming Yam; Ki, Wing-Hung; Mow, Wai Ho Conference paper
A high-precision low-voltage low dropout regulator for SoC with adaptive biasing
2009 IEEE International Symposium on Circuits and Systems, ISCAS 2009, Taipei, Taiwan, 24-27 May 2009, p. 2521-2524
Zhan, Chenchang; Ki, Wing Hung Conference paper
A Low Dropout Regulator for SoC with High Power Supply Rejection and Low Quiescent Current
PROCEEDINGS OF THE 2009 12TH INTERNATIONAL SYMPOSIUM ON INTEGRATED CIRCUITS (ISIC 2009), 2009, p. 260-263
Zhan, Chenchang; Ki, Wing-Hung Conference paper
A single inductor dual input dual output DC-DC converter with hybrid supplies for solar energy harvesting applications
Proceedings of the International Symposium on Low Power Electronics and Design, 2009, p. 69-74
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
An inductor-less MPPT design for light energy harvesting systems
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2009, p. 101-102
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
Digitally assisted quasi-V2 hysteretic buck converter with fixed frequency and without using large-ESR capacitor
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, 2009
Su, Feng; Ki, Wing-Hung Conference paper
Dual-Power-path RF-DC Multi-Output Power Management Unit for RFID Tags
Proceedings of IEEE Symposium on VLSI Circuits, Kyoto, Japan, 16-18 June 2009, p. 200-201
Yi, Jun; Ki, Wing-Hung; Mok, Philip; Tsui, Chi-Ying Conference paper
Loop Bandwidth Extension Technique for PWM Voltage Mode DC-DC Switching Converters
2009 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE (A-SSCC), 2009, p. 325-328
Zhan, Chenchang; Ki, Wing-Hung Conference paper
Near-threshold startup integrated boost converter with slew rate enhanced error amplifier
Proceedings - IEEE International Symposium on Circuits and Systems, 2009, p. 2409-2412
Wong, Yat-To; Ng, Chik-Wai; Wan, Ho-Ming; Kwong, Kwok-Kuen; Lam, Yat-Hei; Ki, Wing-Hung Conference paper

2008 9

Component-efficient multiphase switched-capacitor dC-dC converter with configurable conversion ratios for LCD driver applications
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 55, (8), 2008, AUG, p. 753-757
Su, Feng; Ki, Wing-Hung Article
Ultra fast fixed-frequency hysteretic buck converter with maximum charging current control and adaptive delay compensation for DVS applications
IEEE journal of solid-state circuits, v. 43, (4), 2008, APR, p. 815-822
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Article
A 0.9V 0.35μm adaptively biased CMOS LDO regulator with fast transient response
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 51, 2008
Lam, Yat-Hei; Ki, Wing-Hung Conference paper
An energy-adaptive MPPT power management unit for micro-power vibration energy harvesting
IEEE International Symposium on Circuits & Systems (ISCAS), 2008, p. 2570-2573
Yi, Jun; Su, Feng; Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
An integrated reconfigurable SC power converter with hybrid gate control scheme for mobile display driver applications
Proceedings of 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008, 2008, p. 169-172
Su, Feng; Ki, Wing-Hung Conference paper
An SC voltage regulator with novel area-efficient continuous output regulation by dual-branch interleaving control scheme
2008 IEEE SYMPOSIUM ON VLSI CIRCUITS, 2008, p. 109-110
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
An SC voltage regulator with novel area-efficient continuous output regulation by dual-branch interleaving control scheme
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2008, p. 136-137
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Integrated single-inductor dual-input dual-output boost converter for energy harvesting applications
IEEE International Symposium on Circuits & Systems (ISCAS), 2008, p. 2218-2221
Sze, Ngok-Man; Su, Feng; Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Threshold voltage start-up boost converter for sub-mA applications
DELTA 2008: FOURTH IEEE INTERNATIONAL SYMPOSIUM ON ELECTRONIC DESIGN, TEST AND APPLICATIONS, PROCEEDINGS, 2008, p. 338-341
Sze, Ngok-Man; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper

2007 9

Analysis and Design Strategy of UHF Micro-Power CMOS Rectifiers for Micro-Sensor and RFID Applications
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 54, (1), January 2007, p. 153-166
Yi, Jun; Ki, Wing-Hung; Tsui, Chi-Ying Article
Design strategy for step-up charge pumps with variable integer conversion ratios
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 54, (5), 2007, MAY, p. 417-421
Su, Feng; Ki, Wing-Hung Article
Fast-transient PCCM switching converter with freewheel switching control
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 54, (9), 2007, SEP, p. 825-829
Ma, Dongsheng; Ki, Wing-Hung Article
A batteryless vibration-based energy harvesting system for ultra low power ubiquitous applications
Proceedings - IEEE International Symposium on Circuits and Systems, 2007, p. 1349-1352
Chao, Lu; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
A Micro Power Management System and Maximum Output Power Control for Solar Energy Harvesting Applications
ISLPED'07: PROCEEDINGS OF THE 2007 INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN, 2007, p. 298-303
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
A stable compensation scheme for low dropout regulator in the absence of ESR
ESSCIRC 2007 - Proceedings of the 33rd European Solid-State Circuits Conference, 2007, p. 416-419
Kwok, Tsz-Fai; Ki, Wing-Hung Conference paper
An inductor-less micro solar power management system design for energy harvesting applications
IEEE International Symposium on Circuits & Systems (ISCAS), 2007, p. 1353-1356
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
An ultra fast fixed frequency buck converter with maximum charging current control and adaptive delay compensation for DVS applications
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2007, p. 28-29
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Vibration Energy Scavenging and Management for Ultra Low Power Applications
ISLPED'07: PROCEEDINGS OF THE 2007 INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN, 2007, p. 316-321
Chao, Lu; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper

2006 8

A voltage-mode PWM buck regulator with end-point prediction
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 53, (4), 2006, APR, p. 294-298
Siu, Man; Mok, Philip Kwok Tai; Leung, Ka Nang; Lam, Yat Hei; Ki, Wing Hung Article
Integrated low-loss CMOS active rectifier for wirelessly powered devices
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 53, (12), 2006, DEC, p. 1378-1382
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Article
A novel charge based computation system and control strategy for energy harvesting applications
Proceedings - IEEE International Symposium on Circuits and Systems, 2006, p. 2933-2936
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
Adaptively-biased capacitor-less CMOS low dropout regulator with direct current feedback
ASP-DAC 2006: 11TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, PROCEEDINGS, 2006, p. 104-105
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
An integrated 1.8V to 3.3V regulated voltage doubler using active diodes and dual-loop voltage follower for switch-capacitive load
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2006, p. 85-86
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
High efficiency cross-coupled doubler with no reversion loss
IEEE International Symposium on Circuits & Systems (ISCAS), 2006, p. 2761-2764
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Integrated direct output current control switching converter using symmetrically-matched self-biased current sensors
ASP-DAC 2006: 11TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, PROCEEDINGS, 2006, p. 102-103
Lam, Yat-Hei; Koon, Suet-Chui; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Ultra-low voltage power management circuit and computation methodology for energy harvesting applications
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2006, 2006, p. 96-97
Tsui, Chi-Ying; Shao, Hui; Ki, Wing-Hung; Su, Feng Conference paper

2005 6

A Programmable Integrated Digital Controller for Switching Converters with Dual-band Switching and Complex Pole-zero Compensation
IEEE Journal of Solid-state Circuits, v. 40, (3), 2005, MAR, p. 772-780
Chui, MYK; Ki, Wing Hung; Tsui, Chi Ying Article
Charge redistribution loss consideration in optimal charge pump design
IEEE International Symposium on Circuits & Systems (ISCAS), 2005, p. 1895-1898
Ki, WH; Su, F.; Tsui, CY Conference paper
Gate control strategies for high efficiency charge pumps
IEEE International Symposium on Circuits & Systems (ISCAS), 2005, p. 1907-1910
Su, Feng; Ki, Wing Hung; Tsui, Chi Ying Conference paper
Hypergraph: An alternative graphical model for computing transfer functions in circuits and systems
2005 International Conference on Communications, Circuits and Systems, v .1-2, 2005, p. 1353-1357
Au, Edward Kwok Sum; Mow, Wai Ho; Ki, Wing Hung Conference paper
Integrated charge-control single-inductor dual-output step-up/step-down converter
Proceedings - IEEE International Symposium on Circuits and Systems, 2005, p. 3071-3074
Koon, Suet-Chui; Lam, Yat-Hei; Ki, Wing-Hung Conference paper
Ultra-low voltage power management and computation methodology for energy harvesting applications
2005 Symposium on VLSI Circuits, Digest of Technical Papers, 2005, p. 316-319
Tsui, Chi Ying; Shao, Hui; Ki, Wing Hung; Su, Feng Conference paper

2004 11

A novel current-mode sensing scheme for magnetic tunnel junction MRAM
IEEE transactions on magnetics, v. 40, 2, Part 1, March 2004, p. 483-488
Au, Edward Kwok Sum; Ki, Wing Hung; Mow, Wai Ho; Hung, ST; Wong, CY Article
A switched-current sensing architecture for a four-state per cell magnetic tunnel junction MRAM
IEEE TRANSACTIONS on Circuits and SYSTEMS i-regular Papers, v. 51, (11), November 2004, p. 2113-2122
Au, Edward Kwok Sum; Ki, Wing Hung; Mow, Wai Ho; Hung, ST; Wong, CY Article
An Integrated One-cycle Control Buck Converter with Adaptive Output and Dual Loops for Output Error Correction
IEEE journal of solid-state circuits, v. 39, (1), 2004, JAN, p. 140-149
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying Article
A binary-search switched-current sensing scheme for 4-state MRAM
Proceedings of the ACM Great Lakes Symposium on VLSI, Boston, MA, USA, April 2004
Au, Edward Kwok Sum; Ki, Wing-Hung; Mow, Wai Ho; Hung, S.T.; Wong, C.Y. Conference paper
A CAD simulator based on loop gain measurement for switching converters
Proceedings - IEEE International Symposium on Circuits and Systems, v. 5, 2004, p.940-943
Ma, Dongsheng; Tam, V.H.S.; Ki, Wing-Hung; Lam, Hylas Y.H. Conference paper
A dual-band switching digital controller for a buck converter
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, p. 561-562
Chui, M.Y.K.; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Fast adaptive DC-DC conversion using dual-loop one-cycle control in standard digital CMOS process
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004, p. 539-540
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying Conference paper
Integrated 0.9V charge-control switching converter with self-biased current sensor
Midwest Symposium on Circuits and Systems, v. 2, 2004, p.305-308
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying; Ma, Dongsheng Conference paper
Loop gain analysis and development of high-speed high-accuracy current sensors for switching converters
2004 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 5, PROCEEDINGS, 2004, p. 828-831
Lam, Hylas Yat Hei; Ki, Wing Hung; Ma, Dongsheng Conference paper
Minimizing energy consumption of hard real-time systems with simultaneous tasks scheduling and voltage assignment using statistical data
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004, p. 663-665
Leung, Lay F.; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Minimizing Energy Consumption of Multiple-Processors-Core Systems with Simultaneous Tasks Allocation, Scheduling and Voltage Assignment
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004, p. 647-652
Leung, Lap F.; Tsui, Chi Ying; Ki, Wing Hung Conference paper

2003 10

A 1-v 3.5-mw CMOS switched-opamp quadrature IF circuitry for Bluetooth receivers
IEEE journal of solid-state circuits, v. 38, (5), 2003, MAY, p. 805-816
Cheung, Vincent S.L.; Luong, Howard Cam; Chan, Man Sun; Ki, Wing Hung Article
A pseudo-CCM/DCM SIMO switching converter with freewheel switching
IEEE journal of solid-state circuits, v. 38, (6), 2003, JUN, p. 1007-1014
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying Article
An enhanced compact waffle MOSFET with low drain capacitance from a standard submicron CMOS technology
Solid-state electronics, v. 47, (5), 2003, MAY, p. 785-789
Lam, Sang; Mok, Philip Kwok Tai; Ki, Wing Hung; Ko, Ping Keung; Chan, Man Sun Article
Area-efficient CMOS charge pumps for LCD drivers
IEEE journal of solid-state circuits, v. 38, (10), 2003, OCT, p. 1721-1725
Ying, Tian Rui; Ki, Wing Hung; Chan, Man Sun Article
Single-inductor multiple-output switching converters with time-multiplexing control in discontinuous conduction mode
IEEE journal of solid-state circuits, v. 38, (1), January 2003, p. 89-100
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying; Mok, Philip Kwok Tai Article
An integrated digital controller for DC-DC switching converter with dual-band switching
2003 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS, 2003, p. 45-48
Chui, Yeung Kei; Ki, Wing Hung; Tsui, Chi Ying Conference paper
Analog Integrated Circuit Design of a Hypertrellis Decoder
Parallel and Distributed Computing, Applications and Technologies, PDCAT Proceedings, 2003, p. 552-556
Hu, Zong-Qq; Mow, Wai Ho; Ki, Wing-Hung Conference paper
High-sensitivity switched-current sensing circuit for magnetic tunnel junction MRAM
Proceedings of the 46th IEEE International Midwest Symposium on Circuits & Systems, Cairo, Egypt, 2003
Au, Edward Kwok Sum; Ki, Wing Hung; Mow, Wai Ho; Hung, ST; Wong, CY Conference paper
Simultaneous task allocation, scheduling and voltage assignment for multiple-processors-core systems using mixed integer nonlinear programming
IEEE international symposium on circuits and systems location, Unknown, 2003
Leung, Lap Fai; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Single-inductor dual-input dual-output switching converter for integrated battery charging and power regulation
IEEE international symposium on circuits and systems location, Unknown, 2003
Lam, Yat Hei; Ki, Wing Hung; Tsui, Chi Ying; Mok, Philip Kwok Tai Conference paper

2002 13

A 1.0-V V-DD CMOS active-pixel sensor with complementary pixel architecture and pulsewidth modulation fabricated with a 0.25-mu m CMOS process
IEEE journal of solid-state circuits, v. 37, (12), 2002, DEC, p. 1853-1859
Xu, Chao; Zhang, Wei Quan; Ki, Wing Hung; Chan, Man Sun Article
A 1-V 10.7-MHz switched-opamp, bandpass Sigma Delta modulator using double-sampling finite-gain-compensation technique
IEEE journal of solid-state circuits, v. 37, (10), 2002, OCT, p. 1215-1225
Cheung, Vincent S.L.; Luong, Howard Cam; Ki, Wing Hung Article
A low-voltage CMOS complementary active pixel sensor (CAPS) fabricated using a 0.25 mu m CMOS technology
IEEE electron device letters, v. 23, (7), 2002, JUL, p. 398-400
Xu, Chao; Ki, Wing Hung; Chan, Man Sun Article
A 1-V 3.5-mW CMOS switched-opamp quadrature IF circuitry for bluetooth receivers
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, (CIRCUITS SYMP.), 2002, p. 140-143
Cheung, Vincent S.L.; Luong, Howard Cam; Ki, Wing-Hung Conference paper
A Fast Response Adaptive DC-DC Switching Converter using On-chip Dual-Loop One-cycle control
IEEE European Solid-State Circuit Conference, Firenze, Italy, September 2002
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying Conference paper
A highly integrated CMOS image sensor architecture for low voltage applications with deep submicron process
Proceedings - IEEE International Symposium on Circuits and Systems, v. 3, 2002, p. 699-702
Xu, Chao; Zhang, Weiquan; Ki, Wing Hung; Chan, Man Sun Conference paper
A pseudo-CCM / DCM SIMO switching converter with freewheel switching
IEEE International Solid-State Circuits Conference, San Francisco, USA, 2002
Ma, Dongsheng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
A pseudo-CCM/DCM SIMO switching converter with freewheel switching
IEEE International Solid-State Circuits Conference, San Francisco, USA, 2002
Ma, Dongsheng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
An Enhanced Compact Waffle MOSFET for RF Integrated Circuits
60th Annual Device Research Conference Digest, Santa Barbara, CA, USA, 24-26 June 2002, p. 73-74
Lam, Sang; Ki, Wing Hung; Ko, Ping Keung; Chan, Man Sun Conference paper
Area efficient CMOS integrated charge pumps
Proceedings - IEEE International Symposium on Circuits and Systems, v. 3, 2002, p. 831-834
Ying, Tian Rui; Ki, Wing-Hung; Chan, Man Sun Conference paper
Bi-directional integrated charge pumps
2002 IEEE International Symposium on circuits and systems, Scottsdale, Arizona, USA, 2002
Chan, Chit Sang; Ki, Wing Hung; Tsui, Chi Ying Conference paper
Evaluation of the partially-depleted silicon-on-sapphire technology for microwave amplifiers and other prospective applications
2002 3RD INTERNATIONAL CONFERENCE ON MICROWAVE AND MILLIMETER WAVE TECHNOLOGY PROCEEDINGS, 17-19 Aug 2002, p. 171-174
Lam, Sang; Ki, Wing Hung; Shen, Chao; Ko, Ping Keung; Chan, Man Sun Conference paper
High-isolation bonding pad with depletion-insulation structure for RF/microwave integrated circuits on bulk silicon CMOS
IEEE MTT-S International Microwave Symposium Digest, v. 2, 2002, p. 677-680
Lam, Sang; Ki, Wing Hung; Chan, Man Sun Conference paper

2001 11

A 1-V CMOS switched-opamp switched-capacitor pseudo-2-path filter
IEEE journal of solid-state circuits, v. 36, (1), 2001, JAN, p. 14-22
Cheung, Vincent Sin Luen; Luong, Howard Cam; Ki, Wing Hung Article
A 1.8V single-inductor dual-output switching converter for power reduction techniques
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, Unknown, 2001
Ma, Dongsheng; Ki, Wing-Hung; Tsui, Chi-Ying; Mok, Philip Kwok Tai Conference paper
A 1V 10.7MHz switched-opamp bandpass ∑Δ modulator using double- sampling finite-gain-compensation technique
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, 2001, p. 52-53+428
Cheung, Vincent Sin Luen; Luong, Howard Cam; Ki, Wing Hung Conference paper
A single-inductor dual-output integrated DC/DC boost converter for variable voltage scheduling
THE ASP-DAC 2000: Asia and South Pacific design automation Conference, Taiwan, 2001
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying; Mok, Philip Kwok Tai Conference paper
Characteristics of RF power amplifiers by 0.5μm SOS CMOS process
IEEE International SOI Conference, 2001, p. 141-142
Lam, Sang; Ki, Wing-Hung; Chan, Man Sun Conference paper
Dual-loop feedback for fast low dropout regulators
PESC Record - IEEE Annual Power Electronics Specialists Conference, v. 3, 2001, p. 1265-1269
Chen, Wei; Ki, Wing-Hung; Mok, Philip Kwok Tai Conference paper
Phase-controlled dimmable CFL with PPFC and switching frequency modulation
PESC Record - IEEE Annual Power Electronics Specialists Conference, v. 2, 2001, p. 951-956
Yau, Elson K.F.; Ki, Wing-Hung; Mok, Philip Kwok Tai; Sin, Johnny Kin On Conference paper
Realization of compact MOSFET structure by waffle-layout
European Solid-State Device Research Conf., Nuremberg, Germany, 119-122
Lam, Sang; Ki, Wing-Hung; Kwok, Ka-Chun; Chan, Mansun Conference paper
Single-inductor multiple-output switching converters with bipolar outputs
IEEE International Symposium on Circuits and Systems, Unknown, 2001
Ma, Dongsheng; Ki, Wing-Hung; Mok, Philip Kwok Tai; Tsui, Chi-Ying Conference paper
Switched-capacitor power converters with integrated low dropout regulators
Proceedings - IEEE International Symposium on Circuits and Systems, v. 3, 2001, p. 293-296
Chen, Wei; Ki, Wing-Hung; Mok, Philip Kwok Tai; Chan, Man Sun Conference paper
The silicon-on-sapphire technology for RF integrated circuits: Potential and limitations
IEEE Region 10 International Conference on Electrical and Electronic Technology, 2001, p. 483-486
Lam, Sang; Ki, Wing Hung; Chan, Man Sun Conference paper

2000 7

Signal flow graph analysis of feedback amplifiers
IEEE TRANSACTIONS on Circuits and SYSTEMS i-fundamental THEORY and APPLICATIONS, v. 47, (6), 2000, JUN, p. 926-933
Ki, Wing Hung Article
Three-stage large capacitive load amplifier with damping-factor-control frequency compensation
IEEE journal of solid-state circuits, v. 35, (2), 2000, FEB, p. 221-230
Leung, Ka Nang; Mok, Philip Kwok Tai; Ki, Wing Hung; Sin, Johnny Kin On Article
A 1V CMOS switched-opamp switched-capacitor pseudo-2-path filter
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, 2000, p. 154-155
Cheung, Vincent Sin Luen; Luong, Howard Cam; Ki, Wing-Hung Conference paper
A novel voltage-control scheme for low-voltage DC-DC converters with fast transient recovery
Proceedings of the IEEE 2000 Internaitonal Symposium on Circuits and Systems, v. 1, May 2000, p. 256-259
Lee, Hoi; Mok, Philip Kwok Tai; Ki, Wing Hung Conference paper
Analysis on an alternative structure of damping-factor-control frequency compensation
ISCAS 2000: IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS - PROCEEDINGS, VOL II: EMERGING TECHNOLOGIES FOR THE 21ST CENTURY, 2000, p. 545-548
Leung, Ka Nang; Mok, Philip Kwok Tai; Ki, Wing Hung; Sin, Johnny Kin On Conference paper
IC controller for phase-controlled dimmable compact fluorescent lamps with closed-loop control
Proceedings - IEEE International Symposium on Circuits and Systems, v. 1, 2000, p. 503-506
Chan, Wilson W.S.; Mok, Philip Kwok Tai; Ng, Alex T.K.; Ki, Wing-Hung; Sin, Johnny Kin On Conference paper
Lamp Modeling for Design of Dimmable Electronic Ballasts
IEEE Power Electronics Specialists Conference (PESC), v. 3, 2000, p. 1358-1362
Ng, Tai Kai; Ki, Wing-Hung; Mok, Philip Kowk Tai; Sin, Johnny Kin On Conference paper

1999 6

A novel frequency compensation technique for low-voltage low-dropout regulator
ISCAS '99: PROCEEDINGS OF THE 1999 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 5: SYSTEMS, POWER ELECTRONICS, AND NEURAL NETWORKS, 1999, p. 102-105
Leung, Ka Nang; Mok, Philip Kwok Tai; Ki, Wing Hung Conference paper
Analysis and measurement of DCM power factor correctors
IEEE Power Electronics Specialists Conference (PESC), v. 2, 1999, p. 709-714
Fung, Kin-Siu; Ki, Wing-Hung; Mok, Philip Kwok Tai Conference paper
Damping-factor-control frequency compensation technique for low-voltage low-power large capacitive load applications
1999 IEEE International Solid-State Circuits Conference, 1999. Digest of Technical Papers. ISSCC, San Francisco, CA, USA, 17 Feb 1999, p. 158-159
Leung, Ka Nang; Mok, Philip Kwok Tai; Ki, Wing Hung; Sin, Johnny Kin On Conference paper
Optimum nested Miller compensation for low-voltage low-power CMOS amplifier design
ISCAS '99: PROCEEDINGS OF THE 1999 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 2: ANALOG AND DIGITAL CIRCUITS, 1999, p. 616-619
Leung, Ka Nang; Mok, Philip Kwok Tai; Ki, Wing Hung Conference paper
Phase-controlled dimmable electronic ballast for fluorescent lamps
IEEE Power Electronics Specialists Conference (PESC), v. 2, 1999, p. 1121-1125
Ki, Wing-Hung; Shi, Jiying; Yau, Elson; Mok, Philip Kwok Tai; Sin, Johnny Kin On Conference paper
Right-half-plane Zero Removal Technique for Low-voltage Low-power Nested Miller Compensation CMOS Amplifier
Proceedings of the IEEE International Conference on Electronics, Circuits, and Systems, v. 2, 1999, p. 599-602, article number 813179
Leung, Ka Nang; Mok, Philip Kwok Tai; Ki, Wing Hung Conference paper

1998 2

Analysis of subharmonic oscillation of fixed-frequency current-programming switch mode power converters
IEEE TRANSACTIONS on Circuits and SYSTEMS i-fundamental THEORY and APPLICATIONS, v. 45, (1), 1998, JAN, p. 104-108
Ki, Wing Hung Article
Signal flow graph in loop gain analysis of DC-DC PWM CCM switching converters
IEEE TRANSACTIONS on Circuits and SYSTEMS i-fundamental THEORY and APPLICATIONS, v. 45, (6), 1998, JUN, p. 644-655
Ki, Wing Hung Article

1997 3

Re-examination of pole splitting of a generic single stage amplifier
IEEE TRANSACTIONS on Circuits and SYSTEMS i-fundamental THEORY and APPLICATIONS, v. 44, (1), 1997, JAN, p. 70-74
Ki, Wing Hung; Der, Lawrence; Lam, Steve Article
New universal control methods for power factor correction and DC to DC converter applications
IEEE Applied Power Electronics Conference and Exposition - APEC, v.1, 1997, Feb, p.59-65
Hwang, Jeffery; Chee, Alland; Ki, Wing-Hung Conference paper
Simple method to design resonant circuits of electronic ballast for fluorescent lamps
Proceedings - IEEE International Symposium on Circuits and Systems, v. 3, 1997, p. 1744-1747
Li, Zhi; Mok, Philip Kwok Tai; Ki, Wing-Hung; Sin, Johnny Kin On Conference paper

1995 1

Optimal capacitance assignment of switched-capacitor biquads
IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, v.42, (6), 1995, Jun, p.334-342
Ki, Wing-Hung; Temes, Gabor C. Article

1994 1

Analog VLSI implementations of auditory wavelet transforms using switched-capacitor circuits
IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, v.41, (9), 1994, Sep, p.572-583
Lin, Jyhfong; Ki, Wing-Hung; Edwards, Thomas; Shamma, Shihab Article

1992 3

Area-efficient gain- and offset-compensated very-large-time-constant SC biquads
IEEE International Symposium on Circuits and Systems, May 1992, p.1187-1190
Ki, Wing-Hung; Temes, G.C. Conference paper
Cochlear filters design using a parallel dilating-biquads switched-capacitor filter bank
1992 IEEE International Symposium on Circuits and Systems, 1992. ISCAS '92. Proceedings, San Diego, CA, USA, v. 4, 3-6 May 1992, p. 2053-2056
Lin, Jyhfong; Ki, Wing-Hung; Thompson, K.; Shamma, Shihab Conference paper
Realization of cochlear filter by VLT switched-capacitor biquads
IEEE Int'l Conf. of Acou., Speech, and Sig. Proc., March, 1992, p.II.245-II.248
Lin, Jyhfong; Ki, Wing-Hung; Thompson, K.; Shamma, Shihab Conference paper

1991 1

Gain- and offset-compensated switched-capacitor filters
IEEE International Symposium on Circuits and Systems, v. 3, 1991, p.1561-1564
Ki, Wing-Hung; Temes, Gabor C. Conference paper

1990 2

Low-phase-error offset-compensated switched-capacitor integrator
Electronics Letters, v.26, (13), 1990, Jan, p.957-959
Ki, Wing-Hung; Temes, Gabor C. Article
Offset-compensated switched-capacitor integrators
IEEE International Symposium on Circuits and Systems, v. 4, 1990, p.2829-2832
Ki, Wing-Hung; Temes, Gabor C. Conference paper

1989 1

Switched-capacitor modulator circuits
Electronics Letters, v.25, (6), 1989, Mar, p.379-381
Ki, Wing-Hung; Temes, Gabor C. Article

1987 1

Fast CMOS current amplifier and buffer stage
Electronics Letters, v.23, (13), 1987, Jun, p. 696-697
Temes, Gabor C.; Ki, Wing-Hung Article


No Publications






Teaching Assignment
2021-22 Winter 0 2021-22 Fall 4 2020-21 Summer 2 2020-21 Spring 6 2020-21 Winter 0 2020-21 Fall 3


ELEC2420 Basic Electronics
ELEC2910 Academic and Professional Development I
ELEC3910 Academic and Professional Development II
ELEC5510 Switch Mode Power Converters


EESM6980M MSc Project
ELEC4900 Final Year Design Project


EESM5310 Power Management Circuits and Systems
EESM6980M MSc Project
ELEC2910 Academic and Professional Development I
ELEC3910 Academic and Professional Development II
ELEC4900 Final Year Design Project
ELEC5520 Power Management Integrated Circuit Design


ELEC2420 Basic Electronics
ELEC2910 Academic and Professional Development I
ELEC3910 Academic and Professional Development II


No Teaching Assignments


No Teaching Assignments






Research Postgraduate (RPG) Supervision From January 2019 to December 2022 (As of 30 January 2022)


All Supervisions Current RPGs Graduated RPGs




Current RPGs


Doctor of Philosophy LIU, Yingming (co-supervision)
Electronic and Computer Engineering( 2021 - )

ZHU, Lingfeng
Electronic and Computer Engineering( 2021 - )

YAO, Yuan
Electronic and Computer Engineering( 2019 - )

LAM, Hon Piu
Electronic and Computer Engineering( 2018 - )

LIU, Yang
Electronic and Computer Engineering( 2018 - )

MA, Xiaofei
Electronic and Computer Engineering( 2018 - )

SARKAR, Sayan
Electronic and Computer Engineering( 2018 - )

WANG, Xuliang
Electronic and Computer Engineering( 2018 - )

ZHONG, Yue
Electronic and Computer Engineering( 2017 - )




Master of Philosophy LEUNG, Pok Man
Electronic and Computer Engineering( 2021 - )

QIN, Chunlei
Electronic and Computer Engineering( 2021 - )





Graduated RPGs


Doctor of Philosophy HAN, Xu
Electronic and Computer Engineering( Completed in 2021 )

PAL, Soumitra
Electronic and Computer Engineering( Completed in 2021 )

GE, Xinyuan
Electronic and Computer Engineering( Completed in 2020 )




Master of Philosophy YUEN, Shing Hin
Electronic and Computer Engineering( Completed in 2020 )

XU, Nan
Electronic and Computer Engineering( Completed in 2019 )









ProjectsFrom January 2020 to December 2022

All Projects 6 Leading Projects 4 Participating Projects 2


High-Efficiency Wide Loading Range Reconfigurable One-stage Rectifier Receiver for Implantable Medical Devices


植入式醫療設備的高效寬負載範圍可重構單級整流器接收器 Leading


Innovation and Technology Fund


Project Team (HKUST)
KI Wing Hung (Lead)


2020 -




Development of a Long-Term Biocompatible Wireless Transcleral Electrical Stimulation Implant System for Pre-clinical Trials


開發用於臨床前試驗的長期生物相容無線鞏膜電刺激植入系統 Participating


Innovation and Technology Fund, Neurotech (Hong Kong) Limited


Project Team (HKUST)
TSUI Chi Ying (Lead)
KI Wing Hung
LEE Ricky Shi-wei


2020 -




Probing the Fundamental Structure of Matter with High Energy Particle Collisions


利用高能粒子撞擊研究物質的基本結構 Participating


RGC - Areas of Excellence Scheme


Project Team (HKUST)
KI Wing Hung


2019 -




High performance switched-capacitor converters with variable voltage conversion ratios


高性能可變電壓轉換比的開關式電容轉換器 Leading


RGC - General Research Fund


Project Team (HKUST)
KI Wing Hung (Lead)


2019 - 2021




Power Management Unit for the Pixel Detector Readout Electronics of the High-Luminosity Large Hadron Collider


HL-LHC圖元檢測器讀出電路的電源管理單元 Leading


RGC - General Research Fund


Project Team (HKUST)
KI Wing Hung (Lead)


2018 - 2021




High-Efficiency Low-Cost Multi-Mode Wireless Power Receiver Technology Platform


高效率低成本 多模無線充電器技術平臺 Leading


Allystar Technology (Shenzhen) Co., Ltd., Allystar Technology Company Limited, Innovation and Technology Fund


Project Team (HKUST)
KI Wing Hung (Lead)
TSUI Chi Ying


2018 - 2020






相关话题/香港科技大学 工学院