删除或更新信息,请邮件至freekaoyan#163.com(#换成@)

Implementation of slow and smooth etching of GaN by inductively coupled plasma

本站小编 Free考研考试/2022-01-01




1.
Introduction




The III–V nitride layers such as GaN, AlN and InN are usually grown on sapphire or silicon carbide substrates[1]. Group III-nitrides have attracted remarkable consider- ations[2], due to their potential applications in optoelectronic devices and power electronic devices[4], such as light-emitting diodes (LEDs), laser diodes (LDs), field effect transistors, photo-detectors, high power devices, etc[5]. In recent years, impressive output power densities of AlGaN/GaN high electron mobility transistors (HEMTs) have been demonstrated by metal organic vapor phase epitaxy (MOCVD)[6]. It is well known that the etching process is essential for forming facets for GaN LDs, defining mesas for photodetectors and gate recessing for HEMTs etc[7]. However, the accurate control of the GaN etching depth and uniformity is difficult in those device processes. Due to the chemical stability of GaN, a chemical etching method on GaN is not a valid solution for device fabrication. Currently, the main etch method of GaN is generally based on inductively coupled plasma (ICP) dry etching, with the etch gas Cl2, BCl3 and Ar[8]. However, the fast etching and rough etch surface can lead to excessive etching and introduce defects, which will cause the degradation of the device performance. Therefore,a slow etching rate and smooth surface are required to avoid excessive etching and improve the surface quality in the fabrication process of GaN based LEDs, LDs and E-mode HEMTs[13]. Several studies on ICP etching processing of GaN have been reported, in which the influence of etching conditions on the etch rate are investigated[15], as shown in Table 1. Most of these studies have been implemented with the higher etch rate of GaN, with the rare consideration of the etching effect on surface RMS. However, slow and smooth etching of GaN is required for the fabrication process of GaN based LEDs, E-mode HEMTs etc.






ResearcherEtching rate (?/s)ΔRMS (nm)
Zhou[15]1410.8
Rawal et al.[16]21Smooth and
clean (SEM)
Wang, Cai et al.[17]7.1
Wakejima[18]0.83
Arulkumaran et al.[19]0.82
In this work0.360.2
ΔRMS = RMS (after etching) – RMS (before etching)





Table1.
Some works on etch rate and etch surface RMS of GaN.



Table options
-->


Download as CSV





ResearcherEtching rate (?/s)ΔRMS (nm)
Zhou[15]1410.8
Rawal et al.[16]21Smooth and
clean (SEM)
Wang, Cai et al.[17]7.1
Wakejima[18]0.83
Arulkumaran et al.[19]0.82
In this work0.360.2
ΔRMS = RMS (after etching) – RMS (before etching)





In this paper, by optimizing ICP power, RF power and the flow rate of Cl2 and BCl3, an optimized result of a low etch rate with smooth surface roughness was finally obtained: the etch rate and RMS were 0.36 ?/s and 0.9 nm, respectively. Moreover, the impact mechanism of the BCl3 flow rate on RMS was investigated.




2.
Experimental




The GaN samples used in this work are grown on c-plane sapphire substrates by metal organic chemical vapor deposition (MOCVD). The epi-structure consists of a low-temperature nucleation layer and a 2 μm HR-GaN layer. The epitaxial wafer was cleaned by H2SO4/H2O2, then acetone (80 °C), and then ethyl alcohol (80 °C) for 10 min, respectively. For the photolithography process, a 1 μm photoresist (AZ6130) was spin coated for pattern generation and serves as an etch mask. First, the patterned GaN wafer was diced into 1 × 1 cm2 pieces. Then, the piece samples were etched in the AST Cirie-200 ICP facility. The substrate temperature was set at 25 °C for all processes.



Four sets of experiments were conducted to study the effect of etching process parameters of ICP power, RF power, flow rate of Cl2 and BCl3 on the etch rate (ER) and etch surface roughness (RMS), respectively. The detailed etching parameters for different samples are listed in Table 2. All etching processes were performed at 8 mTorr chamber pressure, 5 sccm Ar and etch time 250 s. Then put all etched samples into the splitter to remove the photoresist. Finally, the groove structures were measured by AFM to give out the etch rate and RMS roughness. The scanning area is 20 × 20 μm2.






ParameterICP power (W)RF power (W)BCl3 flow rate (sccm)Cl2 flow rate (sccm)Etch rate (?/s)RMS (nm)
A1100304054.62.7
A2150304055.32.8
A3200304054.83.1
A4300304053.23.2
B110054052.41.9
B2100154053.02.0
B3100204053.92.1
B4(A1)100304054.62.7
C110030556.22.5
C2100301056.00.9
C3100302054.81.2
C4(A1)100304054.62.7
D110051000.011.2
D210051050.360.9
D3100510102.40.9





Table2.
The etching conditions of GaN corresponding to labeled A1–D3.



Table options
-->


Download as CSV





ParameterICP power (W)RF power (W)BCl3 flow rate (sccm)Cl2 flow rate (sccm)Etch rate (?/s)RMS (nm)
A1100304054.62.7
A2150304055.32.8
A3200304054.83.1
A4300304053.23.2
B110054052.41.9
B2100154053.02.0
B3100204053.92.1
B4(A1)100304054.62.7
C110030556.22.5
C2100301056.00.9
C3100302054.81.2
C4(A1)100304054.62.7
D110051000.011.2
D210051050.360.9
D3100510102.40.9






3.
Results and discussion





3.1
The etch rate of GaN




The etch rates of GaN under different ICP power, RF power, Cl2 flow rate and BCl3 flow rate are shown in Fig. 1.






onerror="this.onerror=null;this.src='http://www.jos.ac.cn/fileBDTXB/journal/article/jos/2018/11/PIC/18030004-1.jpg'"
class="figure_img" id="Figure1"/>



Download



Larger image


PowerPoint slide






Figure1.
The etch rate variation with (a) ICP power, (b) RF power, (c) BCl3 flow rate and (d) Cl2 flow rate, respectively.




As show in Fig. 1(a), with the increase of ICP power, the etch rate first increased and then decreased. This is because the main function of ICP power is to make the etched particles generate high-density plasma and control the plasma flux. When the power (100–150 W) is increased, the plasma density is increased, the physical bombardment and chemical reaction are enhanced, which result in an increase of etch rate, but the power continues to increase and exceeds 150 W, thus the uniformity of plasma will be degenerated, resulting in the decline of the etch rate. As shown in Fig. 2(b), with the decrease of RF, the etch rate decreases (which can also be confirmed by A1 and D2). During the etching of GaN, the plasma energy changes with the RF power: the lower the RF power, the lower the plasma energy, and the lower the etch rate. As shown in Fig. 1(c), when the flow rate of BCl3 increases, the etch rate reduces. It is well known that physical bombardment and chemical reaction happen on the dry etch process of the GaN, and BCl3 played the main role of physical bombardment. When the flow rate of BCl3 increases, the physical bombardment is strong and even dominates in the etch process, then the chemical etch rate is relatively low, which results in the decrease of the etch rate. Cl2 plasma in the ICP etch system is related with chemical etching. Some active groups, such as Cl etc, react with Ga3+ from GaN to generate GaClx; the main mechanism was the following equation:






onerror="this.onerror=null;this.src='http://www.jos.ac.cn/fileBDTXB/journal/article/jos/2018/11/PIC/18030004-2.jpg'"
class="figure_img" id="Figure2"/>



Download



Larger image


PowerPoint slide






Figure2.
(Color online) AFM section height profiles of D1, D2 and D3 samples.









$${
m{GaN}} + {
m{C}}{{
m{l}}^ - } to {
m{Ga}},;{
m{GaC}}{{
m{l}}_{{x}}},;{
m{G}}{{
m{a}}^{3 + }},;{
m{GaCl}}_{{x}}^ + + {{
m{N}}_2},;;;;{{x}} = 1,2,3.$$



So, the flow rate of Cl2 can greatly influence the etch rate and surface roughness RMS. We compare AFM test results of D1, D2 and D2, obtaining the relationship of the Cl2 flow rate and the etch rate. As shown in Fig. 1(d), it could be seen when the flow rate of Cl2 increases, the etch rate gradually increases. When the flow rate of Cl2 gas is 0 sccm, the sample D1 is not basically etched. In this situation, there is the physical bombardment but not chemical etching existing on the GaN surface, and the etch effect is not obvious, which is shown in Fig. 2 (AFM section height profiles of D1, D2, D2 samples). While the flow rate of Cl2 increases, Cl and Ga3+ in plasma reacts, and the etching rate increases. It can be seen from Fig. 1 that the flow rate of Cl2 is the key impact factor in slow etching of GaN.




3.2
The RMS of etch surface




The RMS of the etch surface under different ICP power, RF power, Cl2 flow rate and BCl3 flow rate is shown in Fig. 3.






onerror="this.onerror=null;this.src='http://www.jos.ac.cn/fileBDTXB/journal/article/jos/2018/11/PIC/18030004-3.jpg'"
class="figure_img" id="Figure3"/>



Download



Larger image


PowerPoint slide






Figure3.
The RMS variation with (a) ICP power, (a) RF power, (c) Cl2 flow rate, and (d) BCl3 flow rate (d), respectively.




As shown in Figs. 3(a) and 3(b), the RMS increases with the increase of ICP and RF power, and the rough etching surface always exists, in which we speculate the high flow rate of the BCl3 (40 sccm) result. As shown in Fig. 3(c), with the flow rate of Cl2 increasing, the roughness is slightly reduced. When the flow rate of Cl2 gas is 0 sccm, there is the physical bombardment but not chemical etching existing on the GaN surface, the roughness is big. While the flow rate of Cl2 increases, Cl and Ga3+ in plasma reacts, normally, the chemical etching is isotropic, which can make the etching surface smoother (RMS decreased). According to the experimental results, we find the RMS of samples D2 and C2 are the lowest.



At present, there are studies about the impact of BCl3 on the ICP etch rate. The impact of BCl3 on the RMS of etched surface roughness has rarely been reported. In this work, a possible explanation about the impact mechanism of the BCl3 flow rate on etch surface roughness is proposed. The relationship of RMS and flow rate of BCl3 is shown in Fig. 3(d), when the flow rate of BCl3 increases, the RMS first reduces and then increases (there is a BCl3 critical point minimizing the RMS). In order to further study the effect of BCl3 flux on the etched surface topography, we obtain the 20 × 20 μm2 AFM 2D height images (Fig. 4(a)), AFM 3D topography images (Fig. 4(b)) and SEM surface topography images (Fig. 4(c)) of samples C1, C2, C3 and C4. As shown in Fig. 4(a), the RMS of C1, C2, C3 and C4 are 2.5, 0.9, 1.2 and 2.7 nm. As shown in Figs. 4(b) and 4(c), when the flow rate of BCl3 varies from 5 to 40 sccm, the etched surface appears with pits and burrs, no pits, small burrs and large burrs in turn.






onerror="this.onerror=null;this.src='http://www.jos.ac.cn/fileBDTXB/journal/article/jos/2018/11/PIC/18030004-4.jpg'"
class="figure_img" id="Figure4"/>



Download



Larger image


PowerPoint slide






Figure4.
(Color online) 20 × 20 μm2 AFM (a) 2D height images and (b) 3D topography images of samples C1, C2, C3 and C4. Their surface topographies are pits and burrs, no pits, small burrs and large burrs, respectively. (c) SEM surface topography images of samples C1, C2, C3 and C4.




Sketches of the simplified two dimensional (2D) etch model are given in Figs. 5(a)5(c) to explain the mechanism of surface topography changes in different flow rates of BCl3. During the ICP etch progress, BCl3 can be absorbed on the sidewalls and etch surface to form a barrier layer (polymer film BNxCly), but the barrier layer can be removed by strong ion bombardment. When the flow rate of BCl3 is very small, the BCl3 is unevenly distributed on the GaN surface, and forms a non-uniform barrier layer which is similar to a self-mask, and temporarily protects parts of the GaN from etching. Physical bombardment and chemical reaction happen on other parts of the GaN, then pits and burrs are generated in the protected parts and unprotect parts, respectively, RMS = 2.5 nm (Fig. 5(a)). When the flow rate of BCl3 slightly increases, the uniformity of BCl3 plasma will increase, and a uniform barrier layer is formed on the etch surface. After the uniform barrier layer is removed by the physical bombardment, the chemical etching happens on the whole etch surface, and the isotropic etch enhances, finally, the pits disappear and the etch surface becomes smoother, RMS = 0.9 nm (Fig. 5(b)). When the flow rate of BCl3 continues to increase, the physical bombardment is strong and dominates in the etching process. However, because of the strong bombardment, the anisotropic phenomena are enhanced, so the etch surface is rougher, RMS = 2.7 nm (Fig. 5(c)). Thus, the flow rate of BCl3 dominated the RMS of surface in the low etching rate process. In order to achieve low RMS etching, the flow rate of BCl3 should be 10 sccm.






onerror="this.onerror=null;this.src='http://www.jos.ac.cn/fileBDTXB/journal/article/jos/2018/11/PIC/18030004-5.jpg'"
class="figure_img" id="Figure5"/>



Download



Larger image


PowerPoint slide






Figure5.
(Color online) (a) 2D simplified etch model of forming pits and burrs. The flow rate of BCl3 is slow (~5 sccm). (b) 2D simplified etch model of forming smooth etch surface. The flow rate of BCl3 is appropriate (10–20 sccm). (c) 2D simplified etch model of forming large burrs. The flow rate of BCl3 is fast (~40 sccm).





4.
Conclusion




An optimized etch result of a slow and smooth etch surface of GaN is obtained by optimizing the ICP etching parameters including ICP power, RF power and the flow rates of Cl2 and BCl3, where the etch rate and RMS were 0.36 ?/s and 0.9 nm, respectively. The possible impact mechanism that the flow rate of BCl3 dominates the RMS of surface in the low etching rate ICP etching process is proposed.




Acknowledgements




In this work, we would like to thank the Lighting Research Center for providing the ICP and AFM equipment.



相关话题/Implementation smooth etching