删除或更新信息,请邮件至freekaoyan#163.com(#换成@)

香港科技大学工学院老师教师导师介绍简介-Chi Ying TSUI

本站小编 Free考研考试/2022-01-30

Chi Ying TSUI
崔志英
PhD in Computer Engineering
University of Southern California, 1994

Head and Professor
Division of Integrative Systems and Design

Professor
Department of Electronic and Computer Engineering

Director of Academy for Bright Future Young Engineers

Associate Director of Center on Smart Sensors and Environmental Technologies



(852) 2358 7071
eetsui@ust.hk
Room 5599
Personal Web

Google Scholar
Ofkl2agAAAAJ

ORCID
0000-0002-8024-2637

Scopus ID
7103323591




Research Interest Publications Projects Teaching Assignment RPG Supervision Space used




Research Interest
AI hardware accelerator
Baseband application-specific integrated circuit (ASIC)
Computer architecture
Embedded systems
Energy harvesting
Integrated circuits and systems



Publications
All Years 269 2022 0 2021 1 2020 7 2019 14 2018 17 2017 13 2016 217





2021 1

Electrical stimulation at nanoscale topography boosts neural stem cell neurogenesis through the enhancement of autophagy signaling
Biomaterials, v. 268, January 2021, article number 120585
He, Liumin; Sun, Zhongqing; Li, Jianshuang; Zhu, Rong; Niu, Ben; Tam, Ka Long; Xiao, Qiao; Li, Jun; Wang, Wenjun; Tsui, Chi Ying; Hong Lee, Vincent Wing; So, Kwok-Fai; Xu, Ying; Ramakrishna, Seeram; Zhou, Qinghua; Chiu, Kin Article

2020 7

A 40.68-MHz Active Rectifier With Hybrid Adaptive On/Off Delay-Compensation Scheme for Biomedical Implantable Devices
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 67, (2), February 2020, p. 516-525
Cheng, Lin; Ge, Xinyuan; Hu, Langyu; Yao, Yuan; Ki, Wing Hung; Tsui, Chi Ying Article
A 6.78-MHz Single-Stage Wireless Charger With Constant-Current Constant-Voltage Charging Technique
IEEE Journal of Solid-State Circuits, v. 55, (4), April 2020, p. 999-1010
Cheng, Lin; Ge, Xinyuan; Ng, Wai Chiu; Ki, Wing Hung; Zheng, Jiawei; Kwok, Tsz Fai; Tsui, Chi Ying; Liu, Ming Article
A Fully Dynamic Multi-Mode CMOS Vision Sensor With Mixed-Signal Cooperative Motion Sensing and Object Segmentation for Adaptive Edge Computing
IEEE Journal of Solid-State Circuits, v. 55, (6), June 2020, article number 8952799, p. 1684-1697
Zhong, Xiaopeng; Law, Man-Kay; Tsui, Chi Ying; Bermak, Amine Article
A Low-Power Motion Estimation Architecture for HEVC based on a New Sum of Absolute Difference Computation
IEEE Transactions on Circuits and Systems for Video Technology, v. 30, (1), January 2020, p. 243-255
Jia, Luheng; Tsui, Chi Ying; Au, Oscar C.; Jia, Kebin Article
Construction of Multi-Kernel Polar Codes with Kernel Substitution
IEEE Wireless Communications Letters, v. 9, (11), November 2020, article number 9131742, p. 1879-1883
Xia, Chenyang; Tsui, Chi Ying; Fan, Youzhe Article
Design of a Single-Stage Wireless Charger with 92.3%-Peak-Efficiency for Portable Devices Applications
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2020, January 2020, article number 90453600, p. 1-2
Cheng, Lin; Ge, Xinyuan; Ng, Wai Chiu; Ki, Wing Hung; Zheng, Jiawei; Kwok, Tsz Fai; Tsui, Chi Ying; Liu, Ming Conference paper
Tight compression: Compressing CNN model tightly through unstructured pruning and simulated annealing based permutation
Proceedings - Design Automation Conference, v. 2020-July, July 2020, article number 9218701
Chen, Xizi; Zhu, Jingyang; Jiang, Jingbo; Tsui, Chi Ying Conference paper

2019 14

A Low Power Relaxation Oscillator with Switched-Capacitor Frequency-Locked Loop for Wireless Sensor Node Applications
IEEE Solid-State Circuits Letters, v. 2, (12), December 2019, p. 281-284
Meng, Xiaodong; Li, Xing; Cheng, Lin; Tsui, Chi Ying; Ki, Wing Hung Article
A New Rate-Complexity-Distortion Model for Fast Motion Estimation Algorithm in HEVC
IEEE Transactions on Multimedia, v. 21, (4), April 2019, article number 8447291, p. 835-850
Jia, Luheng; Tsui, Chi Ying; Au, Oscar C L; Jia, Kebin Article
A-12.3 dBm UHF Passive RFID Sense Tag for Grid Thermal Monitoring
IEEE Transactions on Industrial Electronics, v. 66, (11), November 2019, article number 8611307, p. 8811-8820
Wang, Bo; Law, Man-Kay; Yi, Jun; Tsui, Chi Ying; Bermak, Amine Article
Design of Sub-Gigahertz Reconfigurable RF Energy Harvester From-22 to 4 dBm With 99.8% Peak MPPT Power Efficiency
IEEE Journal of Solid-State Circuits, v. 54, (9), September 2019, article number 8742574, p. 2601-2613
Zeng, Zizhen; Shen, Shanpu; Zhong, Xiaopeng; Li, Xing; Tsui, Chi Ying; Bermak, Amine; Murch, Ross David; Sanchez-Sinencio, Edgar Article
Dual transduction on a single sensor for gas identification
Sensors and Actuators B-chemical, v. 278, January 2019, p. 21-27
Gao, Feng; Xuan, Weipeng; Bermak, Amine; Boussaid, Farid; Tsui, Chi Ying; Luo, Jikui Article
SubMac: Exploiting the subword-based computation in RRAM-based CNNaccelerator for energy saving and speedup
Integration, v. 69, November 2019, p. 356-368
Chen, Xizi; Jiang, Jingbo; Zhu, Jingyang; Tsui, Chi Ying Article
A 2.2μW 600kHz Frequency-Locked Relaxation Oscillator with 0.046%/V Voltage and 48.69ppm/°C Temperature Stability for IoT Sensor Node Applications
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, v.2019, June 2019, article number 8778065, p. C44-C45
Meng, Xiaodong; Li, Xing; Zhong, Xiaopeng; Yao, Yuan; Tsui, Chi Ying; Ki, Wing Hung Conference paper
A 40.68MHz Active Rectifier with Hybrid Delay Compensation Scheme
2018 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2018, 8 January 2019, article number 8605616, p. 501-504
Hu, Langyu; Cheng, Lin; Yao, Yuan; Yim, Tak Sang; Ki, Wing Hung; Tsui, Chi Ying Conference paper
A 6.78MHz 92.3%-Peak-Efficiency Single-Stage Wireless Charger with CC-CV Charging and On-Chip Bootstrapping Techniques
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, v. 2019, June 2019, article number 8777990, p. C320-C321
Cheng, Lin; Ge, Xinyuan; Ng, Wai Chiu; Ki, Wing Hung; Zheng, Jiawei; Kwok, Tsz Fai; Tsui, Chi Ying; Liu, Ming Conference paper
A Simplified PWM Controller for Wireless Power Receiver Using a 3-Mode Reconfigurable Resonant Regulating Rectifier
2018 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2018, 8 January 2019, article number 8605613, p. 473-475
Cheng, Lin; Ge, Xinyuan; Ki, Wing Hung; Tsui, Chi Ying Conference paper
A Two-Staged Adaptive Successive Cancellation List Decoding for Polar Codes
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2019-May, 2019, article number 8702103
Xia, Chenyang; Fan, Youzhe; Tsui, Chi Ying Conference paper
CompRRAE: RRAM-based convolutional neural network accelerator with reduced computations through a runtime activation estimation
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, New York, USA : Institute of Electrical and Electronics Engineers Inc., 2019, p. 133-139
Chen, Xizi; Jiang, Jingbo; Zhu, Jingyang; Tsui, Chi Ying Conference paper
Polyimide-Based Flexible 3-Coil Inductive Link Design and Optimization
2018 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2018, 8 January 2019, article number 8605614, p. 505-508
Yao, Yuan; Meng, Xiaodong; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Single-Stage Rectifying Constant-Current Constant-Voltage Charger for Wireless Charging
2019 International SoC Design Conference (ISOCC) / IEEE CAS Society. South Korea : IEEE, 2019, p. 59-60, Article number 9078463
Ki, Wing Hung; Cheng, Lin; Ge, Xinyuan; Tsui, Chi Ying Conference paper

2018 17

A 10.6 pJ·K2 Resolution FoM Temperature Sensor Using Astable Multivibrator
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 65, (7), July 2018, p. 869-873
Wang, Bo; Law, Man-Kay; Tsui, Chi Ying; Bermak, Amine Article
A High-Throughput Architecture of List Successive Cancellation Polar Codes Decoder With Large List Size
IEEE Transactions on Signal Processing, v. 66, (14), July 2018, p. 3859-3874
Xia, Chenyang; Chen, Ji; Fan, Youzhe; Tsui, Chi Ying; Jin, Jie; Shen, Hui; Li, Bin Article
A low-power compression-based CMOS image sensor with microshift-guided SAR ADC
IEEE Transactions on Circuits and Systems II-express Briefs, v. 65, (10), October 2018, article number 8418781, p. 1350-1354
Zhong, Xiaopeng; Zhang, Bo; Bermak, Amine; Tsui, Chi Ying; Law, Man-Kay Article
Analysis and Design of a Ripple Reduction Chopper Bandpass Amplifier
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 65, (4), April 2018, p. 1185-1195
Zheng, Jiawei; Ki, Wing-Hung; Tsui, Chi-Ying Article
Dual Transduction Surface Acoustic Wave Gas Sensor for VOC Discrimination
IEEE Electron Device Letters, v. 39, (12), December 2018, article number 8485744, p. 1920-1923
Gao, Feng; Boussaid, Farid; Xuan, Weipeng; Tsui, Chi Ying; Bermak, Amine Article
Efficient Partial-Sum Network Architectures for List Successive-Cancellation Decoding of Polar Codes
IEEE Transactions on Signal Processing, v. 66, (14), July 2018, p. 3848-3858
Mousavi, Mahsa; Fan, Youzhe; Tsui, Chi Ying; Jin, Jie; Li, Bin; Shen, Hui Article
Microshift: An Efficient Image Compression Algorithm for Hardware
IEEE Transactions on Circuits and Systems for Video Technology, v. 29, (11), November 2019, article number 8529272, p. 3430-3443
Zhang, Bo; Sander, Pedro V.; Tsui, Chi-Ying; Bermak, Amine Article
Ultra-Low-Power Smart Electronic Nose System Based on Three-Dimensional Tin Oxide Nanotube Arrays
ACS Nano, v. 12, (6), June 2018, p. 6079-6088
Chen, Jiaqi; Chen, Zhuo; Boussaid, Farid; Zhang, Daquan; Pan, Xiaofang; Zhao, Huijuan; Bermak, Amine; Tsui, Chi Ying; Wang, Xinran; Fan, Zhiyong Article
A 2pJ/pixel/direction MIMO Processing based CMOS Image Sensor for Omnidirectional Local Binary Pattern Extraction and Edge Detection
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2018-June, 22 October 2018, article number 8502214, p. 247-248
Zhong, Xiaopeng; Yu, Qian; Bermak, Amine; Tsui, Chi Ying; Law, May-Kay Conference paper
A fully integrated analog front end for biopotential signal sensing
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 65, (11), November 2018, article number 8438308, p. 3800-3809
Zheng, Jiawei; Ki, Wing Hung; Tsui, Chi Ying Conference paper
A High-Throughput and Energy-Efficient RRAM-based Convolutional Neural Network using Data Encoding and Dynamic Quantization
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2018-January, February 2018, p. 123-128
Chen, Xizi; Jiang, Jingbo; Zhu, Jingyang; Tsui, Chi Ying Conference paper
An Indoor Solar Energy Harvester with Ultra-Low-Power Reconfigurable Power-On-Reset-Styled Voltage Detector
2018 IEEE International Symposium on Circuits and Systems (ISCAS), 2018-May, 26 April 2018, article number 8351096
Meng, Xiaodong; Li, Xing; Yao, Yuan; Tsui, Chi Ying; Ki, Wing Hung Conference paper
High Performance High Density Gas-FET Array in Standard CMOS
Digest of Technical Papers - Symposium on VLSI Technology, v. 2018-June, October 2018, article number 8510700, p. 39-40
Yu, Qian; Zhong, Xiaopeng; Boussaid, Farid; Bermak, Amine; Tsui, Chi Ying Conference paper
On Path Memory in List Successive Cancellation Decoder of Polar Codes
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2018-May, April 2018, article number 8351462
Xia, Chenyang; Fan, Youzhe; Chen, Ji; Tsui, Chi Ying Conference paper
Room-Temperature Dual-Mode CMOS Gas-FET Sensor for Diabetes Detection
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2018-May, April 2018, article number 8351086
Yu, Qian; Boussaid, Farid; Bermak, Amine; Tsui, Chi Ying Conference paper
Sparsenn: An energy-efficient neural network accelerator exploiting input and output sparsity
Proceedings of 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018, p. 241-244
Zhu, Jingyang; Jiang, Jingbo; Chen, Xizi; Tsui, Chi Ying Conference paper
Ultra-Low Power Smart Electronic Nose System Based on Three-Dimensional Tin-Oxide Nanotube Arrays for Multiple Gases and Mixture Detection
The Material Research Society Fall Meeting & Exhibit (2018 MRS), Boston USA, 25-30 November 2018
Chen, Jiaqi; Chen, Zhuo; Boussaid, Farid; Tsui, Chi Ying; Bermak, Amine; Fan, Zhiyong Conference paper

2017 13

A 6.78-MHz Single-Stage Wireless Power Receiver Using a 3-Mode Reconfigurable Resonant Regulating Rectifier
IEEE Journal of Solid-State Circuits, v. 52, (5), May 2017, article number 7864386, p. 1412-1423
Cheng, Lin; Ki, Wing Hung; Tsui, Chi Ying Article
Chopper Capacitively-Coupled Instrumentation Amplifier Capable of Handling Large Electrode Offset for Biopotential Recordings
IEEE Transactions on Circuits and Systems II: Express Briefs, v.64, (12), December 2017, article number 8012445, p. 1392-1396
Zheng, Jiawei; Ki, Wing Hung; Hu, Langyu; Tsui, Chi Ying Article
High-Throughput and Energy-Efficient Belief Propagation Polar Code Decoder
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 25, (3), March 2017, article number 7742915, p. 1098-1111
Abbas, Syed Mohsin; Fan, Youzhe; Chen, Ji; Tsui, Chi Ying Article
Optic Nerve Stimulation System with Adaptive Wireless Powering and Data Telemetry
Micromachines, v.8, (12), December 2017, article number 368
Li, Xing; Lu, Yan; Meng, Xiaodong; Tsui, Chi-Ying; Ki, Wing-Hung Article
Wireless Power Transfer System With ΣΔ Modulated Transmission Power and Fast Load Response for Implantable Medical Devices
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 64, (3), March 2017, article number 7453177, p. 279-283
Li, Xing; Li, Yinping; Tsui, Chi-Ying; Ki, Wing-Hung Article
Approximate Matrix Inversion for Linear Pre-coders in Massive MIMO
VLSI-SoC: System-on-Chip in the Nanoscale Era – Design, Verification and Reliability / 2017
Abbas, Syed Mohsin; Tsui, Chi Ying Book chapter
A Low-Offset Dynamic Comparator with Area-Efficient and Low-Power Offset Cancellation
2017 IFIP/IEEE 2017 IFIP/IEEE International Conference On Very Large Scale Integration (VLSI-SoC), December 2017, article number 8203481, p. 148-153
Zhong, Xiaopeng; Bermak, Amine; Tsui, Chi Ying Conference paper
A Wireless Power Receiver with a 3-Level Reconfigurable Resonant Regulating Rectifier for Mobile-Charging Applications
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, April 2017, article number 7858288, p. 33-34
Cheng, Lin; Ki, Wing Hung; Tsui, Chi Ying Conference paper
An Implementation of List Successive Cancellation Decoder with Large List Size for Polar Codes
2017 27th International Conference on Field Programmable Logic and Applications, FPL 2017, October 2017, article number 8056843
Xia, Chenyang; Fan, Youzhe; Chen, Ji; Tsui, Chi Ying; Zeng, Chongyang; Jin, Jie; Li, Bin Conference paper
Approximate Matrix Inversion for Linear Pre-coders in Massive MIMO
IFIP Advances in Information and Communication Technology, v. 508, 2017, p. 192-212
Abbas, Syed Mohsin; Tsui, Chi Ying Conference paper
BHNN: A Memory-Efficient Accelerator for Compressing Deep Neural Networks with Blocked Hashing Techniques
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, February 2017, article number 7858404, p. 690-695
Zhu, Jingyang; Qian, Zhiliang; Tsui, Chi Ying Conference paper
Concatenated LDPC-Polar Codes Decoding Through Belief Propagation
Proceedings - IEEE International Symposium on Circuits and Systems, September 2017, article number 8050835
Abbas, Syed Mohsin; Chen, Ji; Fan, Youzhe; Tsui, Chi Ying Conference paper
Dual Transduction Gas Sensor Based on a Surface Acoustic Wave Resonator
Proceedings - IEEE International Symposium on Circuits and Systems, September 2017, article number 8051006
Gao, Feng; Bermak, Amine; Tsui, Chi Ying; Boussaid, Farid Conference paper

2016 15

A Low-Latency List Successive-Cancellation Decoding Implementation for Polar Codes
IEEE Journal on Selected Areas in Communications, v. 34, (2), February 2016, article number 7339658, p. 303-317
Fan, Youzhe; Xia, Chenyang; Chen, Ji; Tsui, Chi Ying; Jin, Jie; Shen, Hui; Li, Bin Article
A Support Vector Regression (SVR)-based latency model for Network-on-Chip (NoC) architectures
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 35, (3), March 2016, article number 7229288, p. 471-484
Qian, Zhiliang; Juan, D.C.; Bogdan, P.; Tsui, Chi Ying; Marculescu, D.; Marculescu, R. Article
An Implantable Medical Device for Transcorneal Electrical Stimulation: Packaging Structure, Process Flow, and Toxicology Test
IEEE Transactions on Components, Packaging and Manufacturing Technology, v. 6, (8), Aug 2016, article number 7509610, p. 1174-1180
Le, Fuliang; Lo, Chi Chuen; Qiu, Xing; Lee, Shi-wei; Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Article
BiLink: A High Performance NoC Router Architecture Using bi-Directional Link with Double Data Rate
Integration, the VLSI Journal, v. 55, September 2016, p. 30-42
Zhu, Jingyang; Qian, Zhiliang; Tsui, Chi Ying Article
Performance Evaluation of NoC-Based Multicore Systems: From Traffic Analysis to NoC Latency Modeling
ACM Transactions on Design Automation of Electronic Systems, v. 21, (3), May 2016, article number 52
Qian, ZhiLiang; Bogdan, Paul; Tsui, Chi-ying; Marculescu, Radu Article
VLSI-SoC: Design for Reliability, Security and Low Power: 23rd IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2015, Daejeon, Korea, October 5-7, 2015, Revised Selected Papers
VLSI-SoC: Design for Reliability, Security, and Low Power: 23rd IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2015, Daejeon, Korea, October 5-7, 2015, Revised Selected Papers / Edited by Youngsoo ShinChi Ying TsuiJae-Joon KimKiyoung ChoiRicardo Reis. Switzerland: Springer, 2016. IFIP Advances in Information and Communication Technology, v. 483.
Shin, Youngsoo; Tsui, Chi Ying; Kim, Jae-Joon; Choy, Kiyoung; Reis, Ricardo Book
A 6.78MHz 6W Wireless Power Receiver with a 3-Level 1x / 1/2 x / 0x Reconfigurable Resonant Regulating Rectifier
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 59, February 2016, article number 7418064, p. 376-377
Cheng, Lin; Ki, Wing-Hung; Wong, Tatto; Yim, Taksang; Tsui, Chiying Conference paper
A Low-Power Chopper Bandpass Amplifier for Biopotential Sensors
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7527230, p. 301-304
Zheng, Jiawei; Ki, Wing Hung; Tsui, Chi Ying Conference paper
A WLAN 2.4-GHz RF Energy Harvesting System With Reconfigurable Rectifier For Wireless Sensor Network
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7539059, p. 2362-2365
Zeng, Zizhen; Li, Xing; Bermak, Amine; Tsui, Chi Ying; Ki, Wing Hung Conference paper
An Indoor Solar Energy Harvesting System Using Dual Mode SIDO Converter with Fully Digital Time-Based MPPT
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7539057, p. 2354-2357
Meng, Xiaodong; Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Hardware decoders for polar codes: An overview
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7527192, p. 149-152
Giard, Pascal; Sarkis, Gabi; Balatsoukas-Stimming, Alexios; Fan, Youzhe; Tsui, Chi Ying; Burg, Andreas Peter; Thibeault, Claude; Gross, Warren J. Conference paper
Low-Complexity List Successive-Cancellation Decoding of Polar Codes Using List Pruning
2016 IEEE Global Communications Conference, GLOBECOM 2016 - Proceedings, 2016, article number 7841969
Chen, Ji; Fan, Youzhe; Xia, Chenyang; Tsui, Chi Ying; Jin, Jie; Chen, Kai; Li, Bin Conference paper
Low-Latency Approximate Matrix Inversion for High-Throughput Linear Pre-Coders in Massive MIMO
2016 IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2016, November 2016, article number 7753561
Abbas, Syed Mohsin; Tsui, Chiying Conference paper
LRADNN: High-throughput and Energy-efficient Deep Neural Network Accelerator Using Low Rank Approximation
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 25-28-January-2016, March 2016, article number 7428074, p. 581-586
Zhu, Jingyang; Qian, Zhiliang; Tsui, Chi Ying Conference paper
Wireless body sensor network system for health monitoring
Qatar Foundation Annual Research Conference 2016, Doha, Qatar, 22-23 March 2016
Bermak, Amine; Zeng, Z.; Tsui, Chi Ying Conference paper

2015 11

A 13.56 MHz Wireless Power Transfer System With Reconfigurable Resonant Regulating Rectifier and Wireless Power Control for Implantable Medical Devices
IEEE Journal of Solid-State Circuits, v. 50, (4), April 2015, article number 7035125, p. 978-989
Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Article
FSNoC: A Flit-level Speedup Scheme for Network On-chips Using Self-reconfigurable Bidirectional Channels
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (9), September 2015, article number 6902815, p. 1854-1867
Qian, Zhiliang; Abbas, Syed Mohsin; Tsui, Chiying Article
Power Management Analysis of Inductively-Powered Implants with 1X/2X Reconfigurable Rectifier
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 62, (3), March 2015, article number 6977994, p. 617-624
Li, Xing; Tsui, Chi-Ying; Ki, Wing-Hung Article
Reconfigurable Resonant Regulating Rectifier With Primary Equalization for Extended Coupling- and Loading-Range in Bio-Implant Wireless Power Transfer
IEEE Transactions on Biomedical Circuits and Systems, v. 9, (6), December 2015, p. 875-884
Li, Xing; Meng, Xiaodong; Tsui, Chi Ying; Ki, Wing Hung Article
A Fast Variable Block Size Motion Estimation Algorithm with Refined Search Range for a Two-layer Data Reuse Scheme
2015 IEEE International Symposium on Circuits and Systems (ISCAS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 1206-1209
Jia, Luheng; Tsui, Chi Ying; Au, Oscar Chi Lim; Zheng, Amin Conference paper
Efficient wireless power transmission technology based on above-CMOS integrated (ACI) high quality inductors
2014 IEEE International Electron Devices Meeting (IEDM), February 2015, article number 7047038, p. 12.4.1-12.4.4
Raju, Salahuddin; Li, Xing; Lu, Yan; Tsui, Chi Ying; Ki, Wing Hung; Chan, Mansun; Yue, Chik Patrick Conference paper
Fluxless Packaging of an Implantable Medical Device for Transcorneal Electrical Stimulation
Proceedings of the Electronic Packaging Technology Conference, EPTC, v. 2016-February, February 2016, article number 7412282
Le, Fuliang; Lo, Chi Chuen; Qiu, Xing; Lee, Shi-wei; Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Low complexity belief propagation polar code decoder
2015 IEEE Workshop on Signal Processing Systems (SiPS 2015), v. 2015-December, December 2015, article number 7344986
Abbas, Syed Mohsin; Fan, Youzhe; Chen, Ji; Tsui, Chi Ying Conference paper
Low-latency List Decoding of Polar Codes With Double Thresholding
ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing - Proceedings Volume 2, August 2015, article number 7178128, p. 1042-1046
Fan, Youzhe; Chen, Ji; Xia, Chenyang; Tsui, Chi Ying; Jin, Jie; Shen, Hui; Li, Bin Conference paper
UHF energy harvesting system using reconfigurable rectifier for wireless sensor network
2015 IEEE International Symposium on Circuits and Systems (ISCAS), July 2015, article number 7168578, p. 93-96
Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Wireless Power Transfer System Using Primary Equalizer for Coupling- and Load-Range Extension in Bio-Implant Applications
2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 228-229
Li, Xing; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper

2014 12

A Novel Single-Inductor Dual-Input Dual-Output DC-DC Converter With PWM Control for Solar Energy Harvesting System
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (8), 2014, article number 6595148, p. 1693-1704
Shao, Hui; Li, Xing; Tsui, Chi-Ying; Ki, Wing-Hung Article
An Efficient Partial-Sum Network Architecture for Semi-Parallel Polar Codes Decoder Implementation
IEEE Transactions on Signal Processing, v. 62, (12), June 2014, article number 6803952, p. 3165-3179
Fan, YouZhe; Tsui, Chi-ying Article
Cell-type-specific labeling of synapses in vivo through synaptic tagging with recombination
Neuron, v. 81, (2), January 2014, p. 280-293
Chen, Yi; Akin, Orkun; Nern, Aljoscha; Tsui, Chi Ying; Pecot, Matthew Y.; Zipursky, Stephen Lawrence Article
Sequential axon-derived signals couple target survival and layer specificity in the Drosophila visual system
Neuron, v. 82, (2), April 2014, p. 320-333
Pecot, Matthew Y.; Chen, Yi; Akin, Orkun; Chen, Zhenqing; Tsui, Chi Ying; Zipursky, Stephen Lawrence Article
A Thermal Aware Routing Algorithm for Application-Specific Network-on-Chip
Routing Algorithms in Networks-on-Chip / Editors: Maurizio Palesi, Masoud Daneshtalab. New York, N.Y. : Springer Science+Business Media, 2013, p. 277-304, 2014 Edition
Qian, Zhiliang; Tsui, Chi Ying Book chapter
A 13.56MHz Wireless Power Transfer System with Reconfigurable Resonant Regulating Rectifier and Wireless Power Control for Implantable Medical Devices
28th IEEE Symposium on VLSI Circuits Digest of Technical Papers, Institute of Electrical and Electronics Engineers (IEEE), 2014
Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Conference paper
A Comprehensive and Accurate Latency Model for Network-on-Chip Performance Analysis
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2014, article number 6742910, p. 323-328
Qian, Zhiliang; Juan, Da-Cheng; Bogdan, Paul; Tsui, Chi Ying; Marculescu, Diana; Marculescu, Radu Conference paper
A fast intermode decision algorithm based on analysis of inter prediction residual
2014 IEEE 16th International Workshop on Multimedia Signal Processing (MMSP), November 2014, article number 6958827
Jia, Luheng; Au, Oscar C L; Tsui, Chi Ying; Dai, Wei; Wan, Pengfei Conference paper
An Adaptive Wireless Powering and Data Telemetry System for Optic Nerve Stimulation
2014 IEEE International Symposium on Circuits and Systems (ISCAS 2014), Institute of Electrical and Electronics Engineers (IEEE), 2014, p. 1404-1407
Li, Xing; Lu, Yan; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
An Efficient Network-on-chip (NoC) Based Multicore Platform for Hierarchical Parallel Genetic Algorithms
Proceedings - 2014 8th IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2014, January 2015, article number 7008757, p. 17-24
Xue, Yuankun; Qian, Zhiliang; Wei, Guo Peng; Bogdan, Paul; Tsui, Chi Ying; Marculescu, Radu Conference paper
Disease Diagnosis-on-a-chip: Large Scale Networks-on-chip Based Multicore Platform for Protein Folding Analysis
Proceedings of the 51st Annual Design Automation Conference, New York, NY, USA : ACM, 2014
Xue, Yuankun; Qian, Zhiliang; Bogdan, Paul; Ye, Fan; Tsui, Chi Ying Conference paper
Low-latency MAP demapper architecture for coded modulation with iterative decoding
Proceedings of IEEE International Symposium on Circuits and Systems, v. 2014, Jun 2014, article number 6865239, p. 730-733
Fan, Youzhe; Tsui, Chi Ying Conference paper

2013 5

Energy Harvesting and Power Delivery for Implantable Medical Devices
Foundations and trends in electronic design automation, v. 7, (3), August 2013, p. 179-246
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung Article
Energy Harvesting and Power Delivery for Implantable Medical Devices
Foundations and Trends? in Electronic Design Automation (Book 22) / [Authors]: Chi-Ying Tsui, Xing Li, Wing-Hung Ki. Boston - Delft : Now Publishers Inc, 2013, p. 1-82
Tsui, Chi Ying; Li, Xing; Ki, Wing Hung Book chapter
A 13.56MHz fully integrated 1X/2X active rectifier with compensated bias current for inductively powered devices
Digest of Technical Papers - 2013 60th IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 17 February 2013 - 21 February 2013
Lu, Yan; Li, Xing; Ki, Wing-Hung; Tsui, Chiying; Yue, Patrick Chik Conference paper
A Diamond Search Window based Adaptive Search Range Algorithm
Electronic Proceedings of the 2013 IEEE International Conference on Multimedia and Expo Workshops, ICMEW 2013, October 2013 , article number 6618263
Jia, Luheng; Au, Oscar Chi Lim; Tsui, Chi Ying; Shi, Yongfang; Ma, Rui; Zhang, Hong Conference paper
Performance Evaluation of Multicore Systems: From Traffic Analysis to Latency Predictions (Embedded tutorial)
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2013, article number 6691101, p. 82-84
Qian, Zhiliang; Bogdan, Paul; Tsui, Chi Ying; Marculescu, Radu Conference paper

2012 12

VLSI-SoC 2011 – The Advanced Research for Systems-on-chips
VLSI-SoC 2011 – The Advanced Research for Systems-on-chips / Salvador Mir, Chi-Ying Tsui, Ricardo Reis, Oliver C. S. Choy. Berlin: Springer, 2012
Mir, Salvador; Tsui, Chi-Ying; Reis, Ricardo; Choy, Oliver C.S. Book
A Flit-level Speedup Scheme For Network-on-Chips Using Self-Reconfigurable Bi-directional Channels
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2012, article number 6176692, p. 1295-1300
Qian, Zhiliang; Teh, Ying Fei; Tsui, Chi Ying Conference paper
A new charge pump analysis and efficiency optimization method for on-chip charge pump
2012 IEEE Faible Tension Faible Consommation, FTFC 2012, Paris, Fance, 2012
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung Conference paper
A New Multi-phase power Clocking Architecture for Adiabatic Reversible Energy Recovery Logic
International Conference on Engineering and Applied Science (ICEAS 2012), Beijing, China, July 24-27 2012, p. 265-278
Choi, Ricky Yiu Kee; Tsui, Chi-Ying Conference paper
A Novel Offset Cancellation Technique for Dynamic Comparator Latch
IEEE 55th International Midwest Symposium on Circuits and Systems, Boise, Idaho, USA, August 5-8 2012, p. 614-617
Choi, Ricky Yiu-kee; Tsui, Chi-ying Conference paper
A Traffic-aware Adaptive Routing Algorithm on a Highly Reconfigurable Network-on-Chip Architecture
10th ACM International Conference on Hardware/Software-Codesign and System Synthesis, CODES+ISSS 2012, Co-located with 8th Embedded Systems Week, ESWEEK 2012:Proceedings of the 10th ACM International Conference on Hardware/Software-Codesign and System Synthesis, Tampere, Finland, 2012, p.161-170
Qian, Zhiliang; Bogdan, Paul; Wei, Guopeng; Tsui, Chi-Ying; Marculescu, Radu Conference paper
Analysis and design strategy of on-chip charge pumps for micro-power energy harvesting applications
IFIP Advances in Information and Communication Technology, v. 379, 2012, p. 158-186
Ki, Wing-Hung; Lu, Yan; Su, Feng; Tsui, Chi-Ying Conference paper
Low-complexity Rotated QAM Demapper for the Iterative Receiver Targeting DVB-T2 Standard
2012 IEEE VEHICULAR TECHNOLOGY CONFERENCE (VTC FALL), Quebec City Canada, September 3-6 2012
Fan, YouZhe; Tsui, Chi-ying Conference paper
Pipelined architecture of low energy SAR ADC
International Conference on Electrical Engineering and Computer Science (EECS 2012), Shanghai, China, August 17-20 2012, p. 198-203
Choi, Ricky Yiu Kee; Tsui, Chi-Ying Conference paper
Rate and Power Allocation for 2-Level Superposition Coded Modulation Supporting Both Unicast and Multicast Traffic
8th IEEE International Conference on Wireless Communications, Networking and Mobile Computing, Shanghai, China, September 21-23 2012
Fan, YouZhe; Tsui, Chi-ying Conference paper
Solar energy harvesting system design using re-configurable charge pump
2012 IEEE Faible Tension Faible Consommation ,Paris, France, 2012, June, p. 1-4, Article number 6231747
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung Conference paper
SVR-NoC: A performance analysis tool for Network-on-Chips using learning-based support vector regression model
Proceedings -Design, Automation and Test in Europe, v. 2013, 2013, article number 6513529, p. 354-357
Qian, Zhiliang; Juan, Da-Cheng; Bogdan, Paul; Tsui, Chi Ying; Marculescu, Diana; Marculescu, Radu Conference paper

2011 10

Low energy multi-stage level converter for sub-threshold logic
IET Computers and Digital Techniques, v. 5, (5), September 2011, p. 375-385
Shao, Hui; Li, Xing; Tsui, Chi-Ying Article
Vibration Energy Scavenging System With Maximum Power Tracking for Micropower Applications
IEEE transactions on very large scale integration (VLSI) systems, v. 19, (11), November 2011, p. 2109-2119
Lu, Chao; Tsui, Chi-Ying; Ki, Wing-Hung Article
A fault-tolerant network-on-chip design using dynamic reconfiguration of partial-faulty routing resources
2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, VLSI-SoC 2011, Hong Kong, 2011, p.192-195
Qian, Zhiliang; Teh, Ying-Fei; Tsui, Chi Ying Conference paper
A fault-tolerant NoC using combined link sharing and partial fault link utilization scheme
2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, VLSI-SoC 2011, Hong Kong, 2011, p. 296-301
Teh, Ying-Fei; Qian, Zhiliang; Tsui, Chi Ying Conference paper
A low-complexity image compression algorithm for Address-Event Representation (AER) PWM image sensors
Proceedings - IEEE International Symposium on Circuits and Systems, 2011, p. 2825-2828
Chen, D.G.; Bermak, Amine; Tsui, C.Y. Conference paper
A Thermal-aware Application Specific Routing Algorithm for Network-on-Chip Design
IEEE/ACM 2011 16th Asia and South Pacific Design Automation Conference (ASP-DAC), 2011, Yokohama, Japan, 2011, Article number 5722232, p. 449-454
Qian, Zhiliang; Tsui, Chi-Ying Conference paper
An adaptive multi-stage rectifier for RF energy harvesting applications
VLSI SoC, Hong Kong, 2011
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung Conference paper
Design and analysis of on-chip charge pumps for micro-power energy harvesting applications
2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, VLSI-SoC 2011, 2011, p. 374-379
Ki, Wing-Hung; Lu, Yan; Su, Feng; Tsui, Chi-Ying Conference paper
Efficient iterative receiver for LDPC coded wireless IPTV system
Proceedings - International Conference on Image Processing(ICIP), Brussels, 2011, p. 953-956
Fan, Youzhe; She, James; Tsui, Chi Ying Conference paper
Thermal modeling of three-dimensional integrated circuits considering the thermal removal capability of different TSVs
IEEE 2011 3rd Asia Symposium on Quality Electronic Design (ASQED), Malysia, 2011, p. 1-7
Ding, Hua; Wang, Wei; Qian, Zhiliang; Tsui, Chi Ying; Lai, Liangzhen Conference paper

2010 8

A system-on-chip EPC Gen-2 passive UHF RFID tag with embedded temperature sensor
IEEE Journal of Solid-State Circuits, v. 45, (11), 2010, p. 2404-2420
Yin, Jun; Yi, Jun; Law, Man Kay; Ling, Yunxiao; Lee, Man Chiu; Ng, Kwok Ping; Gao, Bo; Luong, Howard; Bermak, Amine; Chan, Man Sun; Ki, Wing-Hung; Tsui, Chiying; Yuen,Matthew Ming Fai Article
An Energy Efficient Layered Decoding Architecture for LDPC Decoder
IEEE TRANSACTIONS on very LARGE SCALE INTEGRATION (vlsi) SYSTEMS, v. 18, (8), 2010, AUG, p. 1185-1195
Jin, Jie; Tsui, Chi-ying Article
Joint Routing and Sleep Scheduling for Lifetime Maximization of Wireless Sensor Networks
IEEE transactions on wireless communications, v. 9, (7), 2010, JUL, p. 2258-2267
Liu, Feng; Tsui, Chi-Ying; Zhang, Ying Jun (Angela) Article
A single inductor DIDO DC-DC converter for solar energy harvesting applications using band-band control
Proceedings of the 2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC 2010, 2010, p. 167-172
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
A system-on-chip EPC Gen-2 passive UHF RFID tag with embedded temperature sensor
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 53, 2010, p. 308-309
Yin, Jun; Yi, Jun; Law, Man Kay; Ling, Yunxiao; Lee, Man Chiu; Ng, Kwok Ping; Gao, Bo; Luong, Howard C.; Bermak, Amine; Chan, Man Sun; Ki, Wing-Hung; Tsui, Chi-Ying; Yuen, Matthew Ming-Fai Conference paper
Analysis of the heat removal capability of the power distribution network in 3D ICs
International Microsystems Packaging Assembly and Circuits Technology Conference, IMPACT 2010 and International 3D IC Conference, Proceedings, 2010
Lai, L.; Ding, H.; Tsui, C.Y. Conference paper
Maximizing the Harvested Energy for Micro-power Applications through Efficient MPPT and PMU Design
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2010, p. 75-80
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
System Level Power Optimizations for EPC RFID Tags to Improve Sensitivity Using Load Power Shaping and Operation Scheduling
IEEE International Symposium on Circuits and Systems, Paris, May 30- June 2, pp 3012-3015
Ling, Yunxiao; Yi, Jun; Tsui, Chi Ying; Ki, Wing Hung Conference paper

2009 9

Regulated Switched-Capacitor Doubler With Interleaving Control for Continuous Output Regulation
IEEE journal of solid-state circuits, v. 44, (4), 2009, APR, p. 1112-1120
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Article
The Design of a Micro Power Management System for Applications Using Photovoltaic Cells With the Maximum Output Power Control
IEEE TRANSACTIONS on very LARGE SCALE INTEGRATION (vlsi) SYSTEMS, v. 17, (8), 2009, AUG, p. 1138-1142
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Article
A Hybrid Algorithm and its Re-configurable Architecture for MIMO Detector
52nd IEEE Mid-west Symposium on Circuits and Systems, Cancun, Mexico, 2-5 Aug 2009, p. 297-300
Luo, Dan; Tsui, Chi Ying Conference paper
A low energy two-step successive approximation algorithm for ADC design
Proceedings - IEEE International Symposium on Circuits and Systems, 2009, p. 17-20
Choi, R.Y.K.; Tsui, C.Y. Conference paper
A single inductor dual input dual output DC-DC converter with hybrid supplies for solar energy harvesting applications
Proceedings of the International Symposium on Low Power Electronics and Design, 2009, p. 69-74
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
An inductor-less MPPT design for light energy harvesting systems
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2009, p. 101-102
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
Dual-Power-path RF-DC Multi-Output Power Management Unit for RFID Tags
Proceedings of IEEE Symposium on VLSI Circuits, Kyoto, Japan, 16-18 June 2009, p. 200-201
Yi, Jun; Ki, Wing-Hung; Mok, Philip; Tsui, Chi-Ying Conference paper
Improving the hardware utilization efficiency of partially parallel LDPC decoder with scheduling and sub-matrix decomposition
Proceedings - IEEE International Symposium on Circuits and Systems, 2009, p. 2233-2236
Jin, J.; Tsui, C.Y. Conference paper
Low Energy Level Converter Design for Sub-V(th) Logics
PROCEEDINGS OF THE ASP-DAC 2009: ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 2009, 2009, p. 107-108
Shao, Hui; Tsui, Chi-Ying Conference paper

2008 12

A single-chip UHF RFID reader in 0.18 mu m CMOS process
IEEE journal of solid-state circuits, v. 43, (8), 2008, AUG, p. 1741-1754
Wang, Wenting; Lou, Shuzuo; Chui, Kay W.C.; Rong, Sujiang; Lok, Chi Fung; Zheng, Hui; Chan, Hin-Tat; Man, Sau-Wing; Luong, Howard Cam; Lau, Vincent Kin Nang; Tsui, Chi-Ying Article
IPqML:基于QIP标准的IP质量评测辅助系统
计算机辅助设计与图形学学报=Journal of Compute-Aided Design and Graphics, v. 2008, (12), 2008, p. 1563-1568
周萌; 崔志英; 高明倫 Article
Minimizing the dynamic and sub-threshold leakage power consumption using least leakage vector-assisted technology mapping
Integration-the VLSI journal, v. 41, (1), 2008, JAN, p. 76-86
Tsui, Chi-ying; Au, Robert Yi-Ching; Choi, Ricky Yiu-Kee Article
Ultra fast fixed-frequency hysteretic buck converter with maximum charging current control and adaptive delay compensation for DVS applications
IEEE journal of solid-state circuits, v. 43, (4), 2008, APR, p. 815-822
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Article
A low energy two-step successive approximation algorithm for ADC design
ISQED 2008: PROCEEDINGS OF THE NINTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN, 2008, p. 317-320
Choi, Ricky Yiu-kee; Tsui, Chi-ying Conference paper
A low power layered decoding architecture for LDPC decoder implementation for IEEE 802.11n LDPC codes
Proceedings of the International Symposium on Low Power Electronics and Design, 2008, p. 253-258
Jin, J.; Tsui, C.Y. Conference paper
A single-chip UHF RFID reader in 0.18-μm CMOS
Proceedings of the Custom Integrated Circuits Conference, 2008, p. 111-114
Wang, Wenting; Lou, Shuzuo; Chui, Kay W.C.; Rong, Sujiang; Lok, Chi Fung; Zheng, Hui; Chan, Hin Tat; Man, Sauwing; Luong, Howard Cam; Lau, Vincent Kin Nang; Tsui, Chi Ying Conference paper
An energy-adaptive MPPT power management unit for micro-power vibration energy harvesting
IEEE International Symposium on Circuits & Systems (ISCAS), 2008, p. 2570-2573
Yi, Jun; Su, Feng; Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
An SC voltage regulator with novel area-efficient continuous output regulation by dual-branch interleaving control scheme
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2008, p. 136-137
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
An SC voltage regulator with novel area-efficient continuous output regulation by dual-branch interleaving control scheme
2008 IEEE SYMPOSIUM ON VLSI CIRCUITS, 2008, p. 109-110
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Integrated single-inductor dual-input dual-output boost converter for energy harvesting applications
IEEE International Symposium on Circuits & Systems (ISCAS), 2008, p. 2218-2221
Sze, Ngok-Man; Su, Feng; Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Threshold voltage start-up boost converter for sub-mA applications
DELTA 2008: FOURTH IEEE INTERNATIONAL SYMPOSIUM ON ELECTRONIC DESIGN, TEST AND APPLICATIONS, PROCEEDINGS, 2008, p. 338-341
Sze, Ngok-Man; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper

2007 17

A mux-based high-performance single-cycle CMOS comparator
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 54, (7), 2007, JUL, p. 591-595
Lam, Hing-Mo; Tsui, Chi-Ying Article
Analysis and Design Strategy of UHF Micro-Power CMOS Rectifiers for Micro-Sensor and RFID Applications
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 54, (1), January 2007, p. 153-166
Yi, Jun; Ki, Wing-Hung; Tsui, Chi-Ying Article
Low-power limited-search parallel state Viterbi decoder implementation based on scarce state transition
IEEE TRANSACTIONS on very LARGE SCALE INTEGRATION (vlsi) SYSTEMS, v. 15, (10), 2007, OCT, p. 1172-1176
Jin, Jie; Tsui, Chi-Ying Article
A batteryless vibration-based energy harvesting system for ultra low power ubiquitous applications
Proceedings - IEEE International Symposium on Circuits and Systems, 2007, p. 1349-1352
Chao, Lu; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
A Micro Power Management System and Maximum Output Power Control for Solar Energy Harvesting Applications
ISLPED'07: PROCEEDINGS OF THE 2007 INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN, 2007, p. 298-303
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
A mixed-signal architecture of channel select filtering with oversampled ADC for multi-standard RFID reader receiver
2007 IEEE International Conference on RFID, IEEE RFID 2007, 2007, article number 4143518, p. 108-114
Chan, Hin-Tat; Wang, Wenting; Lok, Chi Fung; Lau, Vincent K.; Tsui, Chi-Ying; Luong, Howard C. Conference paper
A mixed-signal architecture of channel select filtering with oversampled ADC for multi-standard RFID reader receiver
2007 IEEE International Conference on RFID, 2007, p. 87-93
Chan, Hin-Tat; Wang, Wenting; Lok, Chi Fung; Lau, Vincent Kin Nang; Tsui, Chi-Ying; Luong, Howard C. Conference paper
A robust, input voltage adaptive and low energy consumption level converter for sub-threshold logic
ESSCIRC 2007 - Proceedings of the 33rd European Solid-State Circuits Conference, 2007, p. 312-315
Shao, H.; Tsui, C.Y. Conference paper
A Scalable Frame-Level Pipelined Architecture for FSBM Motion Estimation
IEEE International Conference on VLSI Design,Bangalore, India, Jan. 2007,pp. 830-835
He, Wei feng; Zhao, M.L.; Tsui, Chi Ying; Mao, Zhi Gan Conference paper
An inductor-less micro solar power management system design for energy harvesting applications
IEEE International Symposium on Circuits & Systems (ISCAS), 2007, p. 1353-1356
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
An ultra fast fixed frequency buck converter with maximum charging current control and adaptive delay compensation for DVS applications
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2007, p. 28-29
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Design and implementation of a low-power baseband-system for RFID tag
Proceedings - IEEE International Symposium on Circuits and Systems, 2007, p. 1585-1588
Man, Adam S. W.; Zhang, Edward S.; Chan, Hin Tat; Lau, Vincent Kin Nang; Tsui, Chi Ying; Luong, Howard Cam Conference paper
Energy-aware synthesis of networks-on-chip implemented with voltage islands
DAC, 2007, p. 128-131
Leung, Lap-Fai; Tsui, Chi-Ying Conference paper
Low power VLSI design for a RFID passive tag baseband system enhanced with an AES cryptography engine
2007 1st Annual RFID Eurasia, 2007
Man, Siu Wan; Zhang, Edward S.; Lau, Vincent Kin Nang; Tsui, Chi Ying; Luong, Howard Cam Conference paper
Low power VLSI design for a RFID passive tag baseband system enhanced with an AES cryptography engine
PROCEEDINGS OF THE 1ST RFID EURASIA CONFERENCE, 2007, p. 58-63
Man, Adam S.W.; Zhan-, Edward S.; Lau, Vincent Kin Nang; Tsui, Chi-Ying; Luono, Howard C. Conference paper
Single-Chip UHF RFID reader in 0.18- μm CMOS
Proceedings of the IEEE 2007 Custom Integrated Circuits Conference, CICC 2007, 2007, article number 4405693, p. 111-114
Wang, Wenting; Lou, Shuzuo; Chui, Kay W.C.; Rong, Sujiang; Lok, Chi Fung; Zheng, Hui; Chan, Hin Tat; Man, Sauwing; Luong, Howard Cam; Lau, Vincent Kin Nang; Tsui, Chi Ying Conference paper
Vibration Energy Scavenging and Management for Ultra Low Power Applications
ISLPED'07: PROCEEDINGS OF THE 2007 INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN, 2007, p. 316-321
Chao, Lu; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper

2006 16

HIGH PERFORMANCE LOW POWER COMPLETION DETECTION CIRCUIT
IEE Electronic Letters, vol. 42, No. 2, pp. 75-77, Jan., 2006
Lam, Hing Mo Jeff; Tsui, Chi Ying Article
High-performance single clock cycle CMOS comparator
Electronics letters, v. 42, (2), 2006, JAN 19, p. 75-77
Lam, HM; Tsui, CY Article
Integrated low-loss CMOS active rectifier for wirelessly powered devices
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 53, (12), 2006, DEC, p. 1378-1382
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Article
Modelling of multilayer on-chip transformers
IEE proceedings. Microwaves, antennas and propagation, v. 153, (5), 2006, p. 483-486
Tsui, C.; Tong, K.Y. Article
A low power Viterbi decoder implementation using Scarce State Transition and path pruning scheme for high throughput wireless applications
ISLPED '06: Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, p. 406-411
Jin, Jie; Tsui, Chi-Ying Conference paper
A mixed-signal architecture of channel select filtering with oversampled ADC for multi-standard RFID reader receiver
2006 International Symposium on Industrial Embedded Systems, 2006, p. 108-114
Chan, Hin-Tat; Wang, Wenting; Lok, Chi Fung; Lau, Vincent K.; Tsui, Chi-Ying; Luong, Howard C. Conference paper
A novel charge based computation system and control strategy for energy harvesting applications
Proceedings - IEEE International Symposium on Circuits and Systems, 2006, p. 2933-2936
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
Adaptively-biased capacitor-less CMOS low dropout regulator with direct current feedback
ASP-DAC 2006: 11TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, PROCEEDINGS, 2006, p. 104-105
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
An integrated 1.8V to 3.3V regulated voltage doubler using active diodes and dual-loop voltage follower for switch-capacitive load
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2006, p. 85-86
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Energy-aware optimal workload allocation among the battery-powered devices to maximize the co-operation life time
IEEE International Symposium on Circuits & Systems (ISCAS), 2006, p. 5299-5302
Liu, Feng; Tsui, Chi-Ying Conference paper
High efficiency cross-coupled doubler with no reversion loss
IEEE International Symposium on Circuits & Systems (ISCAS), 2006, p. 2761-2764
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
High performance single clock cycle CMOS comparator
IEEE International Symposium on Circuits & Systems (ISCAS), 2006, p. 779-782
Lam, Hing-mo; Tsui, Chi-ying Conference paper
Integrated direct output current control switching converter using symmetrically-matched self-biased current sensors
ASP-DAC 2006: 11TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, PROCEEDINGS, 2006, p. 102-103
Lam, Yat-Hei; Koon, Suet-Chui; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Low complexity SST Viterbi decoder
IEEE Vehicular Technology Conference, 2006, p. 1379-1380
Jie, J.; Tsui, C.Y. Conference paper
Optimal link scheduling on improving best-effort and guaranteed services performance in network-on-chip systems
DAC, 2006, p. 833-838
Leung, Lap-Fai; Tsui, Chi-Ying Conference paper
Ultra-low voltage power management circuit and computation methodology for energy harvesting applications
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2006, 2006, p. 96-97
Tsui, Chi-Ying; Shao, Hui; Ki, Wing-Hung; Su, Feng Conference paper

2005 8

A physical analytical model of multilayer on-chip inductors
IEEE transactions on microwave theory and techniques, v. 53, (4 I), 2005, p. 1143-1148
Tong, K.Y.; Tsui, C. Article
A Programmable Integrated Digital Controller for Switching Converters with Dual-band Switching and Complex Pole-zero Compensation
IEEE Journal of Solid-state Circuits, v. 40, (3), 2005, MAR, p. 772-780
Chui, MYK; Ki, Wing Hung; Tsui, Chi Ying Article
A data discarding framework for reducing the energy consumption of Viterbi decoder in decoding broadcasted wireless multi-resolution JPEG2000 images
PROCEEDINGS OF THE 2005 3RD WORKSHOP ON EMBEDDED SYSTEMS FOR REAL-TIME MULTIMEDIA, 2005, p. 21-26
Liu, F.; Tsui, CY Conference paper
A threshold-based algorithm and VLSI architecture of a K-best lattice decoder for MIMO systems
2005 IEEE International Symposium on Circuits and Systems (ISCAS), v. 1-6, 2005, p. 3359-3362
Jie, Jin; Tsui, Chi-Ying; Mow, Wai Ho Conference paper
Charge redistribution loss consideration in optimal charge pump design
IEEE International Symposium on Circuits & Systems (ISCAS), 2005, p. 1895-1898
Ki, WH; Su, F.; Tsui, CY Conference paper
Exploiting dynamic workload variation in low energy preemptive task scheduling
Proceedings - Design, Automation, and Test in Europe Conference and Exhibition, 2005, p. 634-639
Leung, LF; Tsui, CY; Hu, XBS Conference paper
Gate control strategies for high efficiency charge pumps
IEEE International Symposium on Circuits & Systems (ISCAS), 2005, p. 1907-1910
Su, Feng; Ki, Wing Hung; Tsui, Chi Ying Conference paper
Ultra-low voltage power management and computation methodology for energy harvesting applications
2005 Symposium on VLSI Circuits, Digest of Technical Papers, 2005, p. 316-319
Tsui, Chi Ying; Shao, Hui; Ki, Wing Hung; Su, Feng Conference paper

2004 13

An Integrated One-cycle Control Buck Converter with Adaptive Output and Dual Loops for Output Error Correction
IEEE journal of solid-state circuits, v. 39, (1), 2004, JAN, p. 140-149
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying Article
Exploiting dynamic workload variation in offline low energy voltage scheduling
Lecture Notes in Computer Science, v. 3254, 2004, p. 553-563
Leung, LF; Tsui, CY; Hu, XS Article
A dual-band switching digital controller for a buck converter
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, p. 561-562
Chui, M.Y.K.; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Adaptive spectrum-based variable bit truncation of discrete cosine transform (DCT) for energy-efficient wireless multimedia communication
PROCEEDINGS OF THE 2004 2ND WORKSHOP ON EMBEDDED SYSTEMS FOR REAL-TIME MULTIMEDIA, 2004, p. 81-86
Liu, F.; Tsui, CY Conference paper
Dynamic reconfigurable bus encoding scheme for reducing the energy consumption of deep sub-micron instruction bus
2004 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 2, PROCEEDINGS, 2004, p. 321-324
Wong, SK; Tsui, CY Conference paper
Fast adaptive DC-DC conversion using dual-loop one-cycle control in standard digital CMOS process
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004, p. 539-540
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying Conference paper
Integrated 0.9V charge-control switching converter with self-biased current sensor
Midwest Symposium on Circuits and Systems, v. 2, 2004, p.305-308
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying; Ma, Dongsheng Conference paper
Least leakage vector assisted technology mapping for total power optimization
2004 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 5, PROCEEDINGS, 2004, p. 145-148
Au, YC; Tsui, CY Conference paper
Minimizing energy consumption of hard real-time systems with simultaneous tasks scheduling and voltage assignment using statistical data
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004, p. 663-665
Leung, Lay F.; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Minimizing Energy Consumption of Multiple-Processors-Core Systems with Simultaneous Tasks Allocation, Scheduling and Voltage Assignment
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004, p. 647-652
Leung, Lap F.; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Power Control of CDMA Systems With Successive Interference Cancellation Using the Knowledge of Battery Power Capacity
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, p. 125-130
Wang, Yan; Tsui, Chi Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho Conference paper
Re-configurable bus encoding scheme for reducing power consumption of the cross coupling capacitance for deep sub-micron instruction bus
DESIGN, AUTOMATION AND TEST IN EUROPE CONFERENCE AND EXHIBITION, VOLS 1 AND 2, PROCEEDINGS, 2004, p. 130-135
Wong, SK; Tsui, CY Conference paper
Scalable scheduling architectures for high-performance crossbar-based switches
IEEE Workshop on High Performance Switching and Routing, HPSR, 2004, p. 104-110
Liu, J.; Hamdi, Mounir; Hu, Q.; Tsui, Chi Ying Conference paper

2003 9

A gate duplication technique for timing optimization
Canadian Journal of Electrical and Computer Engineering, v. 28, (1), January 2003, p. 37-40
Chen, Chunhong; Tsui, Chi Ying; Ahmadi, Majid Article
A pseudo-CCM/DCM SIMO switching converter with freewheel switching
IEEE journal of solid-state circuits, v. 38, (6), 2003, JUN, p. 1007-1014
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying Article
Single-inductor multiple-output switching converters with time-multiplexing control in discontinuous conduction mode
IEEE journal of solid-state circuits, v. 38, (1), January 2003, p. 89-100
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying; Mok, Philip Kwok Tai Article
An integrated digital controller for DC-DC switching converter with dual-band switching
2003 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS, 2003, p. 45-48
Chui, Yeung Kei; Ki, Wing Hung; Tsui, Chi Ying Conference paper
Design and implementation of high-speed arbiter for large scale VOQ crossbar switches
IEEE international solid-state circuits conference, San Francisco, USA, 2003
Hung, CK; Hamdi, Mounir; Tsui, Chi Ying Conference paper
High performance and low power completion detection circuit
IEEE international symposium on circuits and systems, Unknown, 2003
Lam, HM; Tsui, Chi Ying Conference paper
Simultaneous task allocation, scheduling and voltage assignment for multiple-processors-core systems using mixed integer nonlinear programming
IEEE international symposium on circuits and systems location, Unknown, 2003
Leung, Lap Fai; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Single-inductor dual-input dual-output switching converter for integrated battery charging and power regulation
IEEE international symposium on circuits and systems location, Unknown, 2003
Lam, Yat Hei; Ki, Wing Hung; Tsui, Chi Ying; Mok, Philip Kwok Tai Conference paper
Threshold Channel Estimation for OFDM in Wireless Systems
IEEE Vehicular Technology Conference, v. 57, (3), 2003, p. 1586-1589
Wang, Yan; Tsui, Chi Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho Conference paper

2002 10

A 2Gb/s 256{*}256 CMOS crossbar switch fabric core design using pipelined MUX
2002 IEEE International Symposium on Circuits and systems, Scottsdale, Arizona, USA, 2002
Wu, T.; Tsui, CY; Hamdi, Mounir Conference paper
A Fast Response Adaptive DC-DC Switching Converter using On-chip Dual-Loop One-cycle control
IEEE European Solid-State Circuit Conference, Firenze, Italy, September 2002
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying Conference paper
A pseudo-CCM / DCM SIMO switching converter with freewheel switching
IEEE International Solid-State Circuits Conference, San Francisco, USA, 2002
Ma, Dongsheng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
A pseudo-CCM/DCM SIMO switching converter with freewheel switching
IEEE International Solid-State Circuits Conference, San Francisco, USA, 2002
Ma, Dongsheng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
A VLSI Architecture of a K-Best Lattice Decoding Algorithm for MIMO Channels
Proceedings - IEEE International Symposium on Circuits and Systems, v. 3, 2002, p. 273-276
Wong, Kwan Wai; Tsui, Chi Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho Conference paper
Bi-directional integrated charge pumps
2002 IEEE International Symposium on circuits and systems, Scottsdale, Arizona, USA, 2002
Chan, Chit Sang; Ki, Wing Hung; Tsui, Chi Ying Conference paper
CMOS Crossbar S
14th Hot chip Symposium, Unknown, August 2002
Wu, Ting; Tsui, Chi Ying; Hamdi, Mounir Conference paper
Low complexity OFDM receiver using Log-FFT for coded OFDM system
2002 IEEE International Symposium on Circuits and Systems, v. 3, 2002, p. 445-448
Wang, Yan; Lam, Hing Mo; Tsui, Chi-Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho Conference paper
Performance study of OFDM receiver using FFT based on Log number system
Vehicular Technology Conference. IEEE 55th Vehicular Technology Conference. VTC, 2002, p. 1257-1259
Wang, Yan; Tsui, Chi Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho Conference paper
Stable round-robin scheduling algorithms for high-performance input queued switches
Proceedings Hot Interconnects 10: 10th Symposium on High Performance Interconnects / IEEE Computer Society. , 2002, p. 43-51
Liu, Jing; Kit, Hung Chun; Hamdi, Mounir; Tsui, Chi Ying Conference paper

2001 6

Maximum Likelihood Lattice Decoding for MIMO Channels
IEICE Trans. Communications, 2001, p. E84-B(10)
Wong, Kwan Wai; Tsui, Chi Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho Article
Reducing Power Consumption of Turbo-Code Decoder Using Adaptive Iteration With Variable Supply Voltage
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 9, (1), February 2001, p. 34-41
Leung, Oliver Yuk Hang; Tsui, Chi Ying; Cheng, Roger Shu Kwan Article
A 1.8V single-inductor dual-output switching converter for power reduction techniques
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, Unknown, 2001
Ma, Dongsheng; Ki, Wing-Hung; Tsui, Chi-Ying; Mok, Philip Kwok Tai Conference paper
A single-inductor dual-output integrated DC/DC boost converter for variable voltage scheduling
THE ASP-DAC 2000: Asia and South Pacific design automation Conference, Taiwan, 2001
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying; Mok, Philip Kwok Tai Conference paper
Reduced-Complexity Maximum Likelihood Lattice Decoder for MIMO Channels
2001 IEICE Asian Pacific Communication Conference, Tokyo, Japan, 2001
Wong, Kwan Wai; Tsui, Chi Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho Conference paper
Single-inductor multiple-output switching converters with bipolar outputs
IEEE International Symposium on Circuits and Systems, Unknown, 2001
Ma, Dongsheng; Ki, Wing-Hung; Mok, Philip Kwok Tai; Tsui, Chi-Ying Conference paper

2000 11

Low Power Rake Receiver and Viterbi Decoder Design for CDMA Applications
Wireless Personal Communications, v. 14, (1), July 2000, p. 49-64
Tsui, Chi Ying; Cheng, Roger Shu Kwan; Ling, Curtis Article
Low-power VLSI design for motion estimation using adaptive pixel truncation
IEEE transactions on circuits and systems for video technology, v. 10, (5), August 2000, p. 669-678
He, ZL; Tsui, Chi Ying; Chan, KK; Liou, ML Article
A low complexity architecture of the V-BLAST system
2000 IEEE Wireless Communications and Networking Conference, Unknown, 2000
Wai, Wong Kwan; Tsui, Chi Ying; Cheng, Roger Shu Kwan Conference paper
A low power VLSI architecture of SOVA-based Turbo-code decoder using scarce state transition scheme
Proceedings of IEEE International Symposium on Circuits and Systems(ISCAS), v. 1, May 2000, p. 1-283-1-286
Wang, Yan; Tsui, Chin Ying; Cheng, Roger Shu Kwan Conference paper
A reduced complexity implementation of the Log-Map algorithm for Turbo-codes decoding
ICASSP, IEEE International Conference on Acoustics, Speech and Signal, Phoenix, Arizona, USA, 5 June 2000 - 9 June 2000
Wang, Yan; Tsui, Chi Ying; Cheng, Roger Shu Kwan Conference paper
Composite interference cancellation scheme for CDMA systems
IEEE Global Telecommunications Conference, Unknown, 2000
Poon, Billy Chi-Kin; Tsui, Chi-Ying; Cheng, Roger Shu Kwan Conference paper
Low complexity VLSI implementation of a Joint Successive Interference Cancellation with Interleaving scheme
IEEE International Symposium on Circuits and Systems, Geneva, 28 May 2000 - 31 May 2000
Wong, Bob Ka Man; Tsui, Chi Ying; Cheng, Roger Shu Kwan Conference paper
Low power 2-D array VLSI architecture for block matching motion estimation using computation suspension
IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation, Unknown, 2000
Lam, Kin-Hung; Tsui, Chi-Ying Conference paper
Low power controller optimization based on data path pattern extraction
IEEE International ASIC Conference and Exhibit, USA, 2000
Zou, Pei-Qing; Tsui, Chi-Ying Conference paper
VLSI implementation of a switch fabric for mixed ATM and IP traffic
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2000, January 2005, p. 5-6
Tsui, Chi Ying; Kwan, Chung Yin Louis; Lea, Chin-Tau Conference paper
VLSI Implementation of Rake Receiver for IS-95 CDMA Testbed Using FPGA
Asia and South Pacific Design Automation Conference, Yokohama, Japan, 25 - 28 January 2000
Leung, Oliver; Tsui, Chi Ying; Cheng, Roger S.K. Conference paper

1999 8

A/I net: A network that integrates ATM and IP
IEEE network, v. 13, (1), January 1999, p. 48-55
Lea, Chin Tau; Tsui, Chi Ying; Li, Bo; Kwan, LCY; Chan, SKM; Chan, AHW Article
A real-time sub-carrier allocation scheme for multiple access downlink OFDM transmission
IEEE Vehicular Technology Conference, Houston, Texas, USA, 19 September 1999 - 22 September 1999
Wong, Cheongyui; Tsui, Chi-Ying; Cheng, Roger S.K.; Ben letaief, Khaled Conference paper
Adaptive tracking of optimal bit and power allocation for OFDM systems in time-varying channels
1999 IEEE Wireless Communications and Networking Conference, New Orleans, LA, USA, 21-24 September 1999
Lai, Saikit; Cheng, Roger S.K.; Ben letaief, Khaled; Tsui, Chi Ying Conference paper
Adaptive tracking of optimal bit and power allocation for OFDM systems in time-varying channels
1999 IEEE Wireless Communications and Networking Conference, v. 2, 1999, p. 776-780
Lai, Saikit; Cheng, Roger S.K.; Ben letaief, Khaled; Tsui, Chi Ying Conference paper
Finite state machine partitioning for low power
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Hoes Lane, Piscataway, NJ, 1999
Lee, WK; Tsui, Chi Ying Conference paper
Low power ACS unit design for the Viterbi Decoder
IEEE International Symposium on Circuits and Systems, Orlando, Florida, USA, 30 May 1999 - 2 June 1999
Tsui, Chi Ying; Cheng, Roger Shu Kwan; Ling, C. Conference paper
Reducing Power Consumption of Turbo Code Decoder Using Adaptive Iteration With Variable Supply Voltage
Proceedings of the International Symposium on Low Power Electronics and Design, Digest of Technical Papers, 1999, p. 36-41
Leung, Oliver Yuk-Hang; Yue, Chung Wai; Tsui, Chi Ying; Cheng, Roger Shu Kwan Conference paper
Unequal error protection for wireless transmission of MPEG audio
IEEE International Symposium on Circuits and Systems, Orlando, Florida, USA, 30 May 1999 - 2 June 1999
Yung, CW; Fu, HF; Tsui, Chi Ying; Cheng, Roger Shu Kwan; George, D. Conference paper

1998 7

Accurate and efficient power simulation strategy by compacting the input vector set
Integration-the VLSI journal, v. 25, (1), September 1998, p. 37-52
Tsui, Chi Ying; Pedram, M. Article
Gate-level power estimation using tagged probabilistic simulation
IEEE transactions on computer-aided design of integrated circuits and systems, v. 17, (11), November 1998, p. 1099-1107
Ding, CS; Tsui, Chi Ying; Pedram, M. Article
Generic VLSI architecture for block-matching motion estimation algorithms
International journal of imaging systems and technology, v. 9, (4), 1998, p. 257-273
He, ZL; Liou, ML; Chan, PCH; Tsui, Chi Ying Article
Low-power state assignment targeting two- and multilevel logic implementations
IEEE transactions on computer-aided design of integrated circuits and systems, v. 17, (12), December 1998, p. 1281-1291
Tsui, Chi Ying; Pedram, M.; Despain, AM Article
Rate determination algorithms in IS-95 forward traffic channels
IEEE Vehicular Technology Conference, Unknown, 18 May 1998 - 21 May 1998
Ng, Sheung Chi; Cheng, Roger Shu-Kwanu; Tsui, Chi Ying; Ling, Curtis C. Conference paper
Towards the Capability of Providing Power-area-delay Trade-off at the Register Transfer Level
Proceedings of the International Symposium on Low Power Design, Boston, MA, USA., 1998
Chen, Chunhong; Tsui, Chiying Conference paper
VLSI design of an ATM switch with automatic fault detection
1998 International symposium on circuits and systems, Monterey, USA, 1998
Kwan, Louis Chung-Yin; Tsui, Chui Ying; Lea, Chin Tau Conference paper

1997 5

A Power Estimation Framework for Designing Low Power Portable Video Applications
Design Automation Conference, Anaheim, CA, USA, 1997
Tsui, Chi Ying; Chan, Kai-Keung; Wu, Qing; Ding, Chih Shun; Pedram, Massoud Conference paper
Efficient and reconfigurable VLSI architecture for different block matching motion estimation algorithms
ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing, Munich, Germany, 21 April 1997 - 24 April 1997
Zhang, Xiao-Dong; Tsui, Chi-Ying Conference paper
Exploring the power consumption of different motion estimation architectures for video compression
IEEE International Symposium on Circuits and Systems, Hong Kong, 9 June 1997 - 12 June 1997
Chan, Kai keung; Tsui, Chi Ying Conference paper
Low Power Motion Estimation Design Using Adaptive Pixel Truncation
1997 International Symposium on Low Power Electronics and Design, Monterey, California, USA, 18 August 1997 - 20 August 1997
He, Zhong-Li; Chan, Kai-Keung; Tsui, Chi Ying; Liou, Ming L. Conference paper
Low power soft output viterbi decoder scheme for Turbo Code decoding
IEEE International Symposium on Circuits and Systems, Hong Kong, 9 June 1997 - 12 June 1997
Lin, Lang; Tsui, Chi Ying; Cheng, Roger Shu Kwan Conference paper

1996 1

Improving the efficiency of power simulators by input vector compaction
33rd Design Automation Conference Proceedings, Las Vegas, Neveda, USA, 3 June 1996 - 7 June 1996
Tsui, Chi Ying; Marculescu, Radu; Marculescu, Diana; Pedram, Massoud Conference paper

1995 1

Power estimation methods for sequential logic-circuits
IEEE TRANSACTIONS on very large scale integration (vlsi) systems, v. 3, (3), September 1995, p. 404-416
Tsui, CY; Monteiro, J.; Pedram, M.; Devadas, S.; Despain, AM; Lin, B. Article

1994 6

Low power state assignment targeting two- and multi-level logic implementations
IEEE transactions on computer-aided design of integrated circuits and systems, November 1994, p. 82-87
Tsui, Chi-Ying; Pedram, Massoud; Chen, Chih-Ang; Despain, Alvin M. Article
Power efficient technology decomposition and mapping under an extended power consumption model
IEEE transactions on computer-aided design of integrated circuits and systems, v. 13, (9), 1994, p. 1110-1122
Tsui, Chi-Ying; Pedram, Massoud; Despain, Alvin M. Article
Saving power in the control path of embedded processors
IEEE design & test of computers, v. 11, (4), December 1994
Su, Ching-Long; Tsui, Chi-Ying; Despain, Alvin M. Article
Exact and approximate methods for calculating signal and transition probabilities in FSMs
Design Automation Conference, San Diego, California, USA, 6 June 1994 - 10 June 1994
Tsui, Chi-Ying; Pedram, Massoud; Despain, Alvin M. Conference paper
Exact and Approximate Methods of Switching Activity Estimation in Sequential Logic Circuits
International Workshop on Low Power Design, Napa, California, April 1994
Jose, Monteiro; Devadas, Srinivas; Lin, B.; Tsui, Chi Ying; Pedram, Massoud; Despain, Alvin Conference paper
Low Power Architectural Design and Compilation Techniques for High-Performance Processor
IEEE Compcon 94, San Diego, CA, USA, February 1994
Su, Ching-Long; Tsui, Chi Ying; Despain, Alvin Conference paper

1993 3

Efficient Estimation of Dynamic Power Consumption under a Real Delay Model
ACM/IEEE International Conference of Computer-Aided Design, Washington, DC, 7 November 1993 - 11 November 1993
Tsui, Chi Ying; Pedram, Massoud; Despain, Alvin Conference paper
Power Estimation Considering Charging and Discharging of Internal Nodes of CMOS Gates
Synthesis and Simulation Meeting and International Interchange, New York, NY, October 1993
Tsui, Chi Ying; Massoud, Pedram; Despain, Alvin Conference paper
Technology decomposition and mapping targeting low power dissipation
Proceedings - Design Automation Conference, Dallas, TX, USA, 14 June 1993 through 18 June 1993
Tsui, Chi-Ying; Pendram, Massoud; Despain, Alvin M. Conference paper

1992 2

A Full Range Design Automation System for Instruction Set Microprocessor
The First International Conference on PROLOG Application, London, UK, April 1992
Cheng, Gino; Tsui, Chi Ying Conference paper
Application-driven design automation for microprocessor design
Proceedings - Design Automation Conference, Anaheim, CA, Jun 08-12, 1992
Pyo, Iksoo; Su, Ching-Long; Huang, Ing-Jer; Pan, Kuo-Rueih; Koh, Yong-seon; Tsui, Chi-Ying; Chen, Hsu-Tsun; Cheng, Gino; Liu, Shihming; Wu, Shiqun; Despain, Alvin M. Conference paper





Article 1

Electrical stimulation at nanoscale topography boosts neural stem cell neurogenesis through the enhancement of autophagy signaling
Biomaterials, v. 268, January 2021, article number 120585
He, Liumin; Sun, Zhongqing; Li, Jianshuang; Zhu, Rong; Niu, Ben; Tam, Ka Long; Xiao, Qiao; Li, Jun; Wang, Wenjun; Tsui, Chi Ying; Hong Lee, Vincent Wing; So, Kwok-Fai; Xu, Ying; Ramakrishna, Seeram; Zhou, Qinghua; Chiu, Kin





Article 5

A 40.68-MHz Active Rectifier With Hybrid Adaptive On/Off Delay-Compensation Scheme for Biomedical Implantable Devices
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 67, (2), February 2020, p. 516-525
Cheng, Lin; Ge, Xinyuan; Hu, Langyu; Yao, Yuan; Ki, Wing Hung; Tsui, Chi Ying
A 6.78-MHz Single-Stage Wireless Charger With Constant-Current Constant-Voltage Charging Technique
IEEE Journal of Solid-State Circuits, v. 55, (4), April 2020, p. 999-1010
Cheng, Lin; Ge, Xinyuan; Ng, Wai Chiu; Ki, Wing Hung; Zheng, Jiawei; Kwok, Tsz Fai; Tsui, Chi Ying; Liu, Ming
A Fully Dynamic Multi-Mode CMOS Vision Sensor With Mixed-Signal Cooperative Motion Sensing and Object Segmentation for Adaptive Edge Computing
IEEE Journal of Solid-State Circuits, v. 55, (6), June 2020, article number 8952799, p. 1684-1697
Zhong, Xiaopeng; Law, Man-Kay; Tsui, Chi Ying; Bermak, Amine
A Low-Power Motion Estimation Architecture for HEVC based on a New Sum of Absolute Difference Computation
IEEE Transactions on Circuits and Systems for Video Technology, v. 30, (1), January 2020, p. 243-255
Jia, Luheng; Tsui, Chi Ying; Au, Oscar C.; Jia, Kebin
Construction of Multi-Kernel Polar Codes with Kernel Substitution
IEEE Wireless Communications Letters, v. 9, (11), November 2020, article number 9131742, p. 1879-1883
Xia, Chenyang; Tsui, Chi Ying; Fan, Youzhe

Conference paper 2

Design of a Single-Stage Wireless Charger with 92.3%-Peak-Efficiency for Portable Devices Applications
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2020, January 2020, article number 90453600, p. 1-2
Cheng, Lin; Ge, Xinyuan; Ng, Wai Chiu; Ki, Wing Hung; Zheng, Jiawei; Kwok, Tsz Fai; Tsui, Chi Ying; Liu, Ming
Tight compression: Compressing CNN model tightly through unstructured pruning and simulated annealing based permutation
Proceedings - Design Automation Conference, v. 2020-July, July 2020, article number 9218701
Chen, Xizi; Zhu, Jingyang; Jiang, Jingbo; Tsui, Chi Ying





Article 6

A Low Power Relaxation Oscillator with Switched-Capacitor Frequency-Locked Loop for Wireless Sensor Node Applications
IEEE Solid-State Circuits Letters, v. 2, (12), December 2019, p. 281-284
Meng, Xiaodong; Li, Xing; Cheng, Lin; Tsui, Chi Ying; Ki, Wing Hung
A New Rate-Complexity-Distortion Model for Fast Motion Estimation Algorithm in HEVC
IEEE Transactions on Multimedia, v. 21, (4), April 2019, article number 8447291, p. 835-850
Jia, Luheng; Tsui, Chi Ying; Au, Oscar C L; Jia, Kebin
A-12.3 dBm UHF Passive RFID Sense Tag for Grid Thermal Monitoring
IEEE Transactions on Industrial Electronics, v. 66, (11), November 2019, article number 8611307, p. 8811-8820
Wang, Bo; Law, Man-Kay; Yi, Jun; Tsui, Chi Ying; Bermak, Amine
Design of Sub-Gigahertz Reconfigurable RF Energy Harvester From-22 to 4 dBm With 99.8% Peak MPPT Power Efficiency
IEEE Journal of Solid-State Circuits, v. 54, (9), September 2019, article number 8742574, p. 2601-2613
Zeng, Zizhen; Shen, Shanpu; Zhong, Xiaopeng; Li, Xing; Tsui, Chi Ying; Bermak, Amine; Murch, Ross David; Sanchez-Sinencio, Edgar
Dual transduction on a single sensor for gas identification
Sensors and Actuators B-chemical, v. 278, January 2019, p. 21-27
Gao, Feng; Xuan, Weipeng; Bermak, Amine; Boussaid, Farid; Tsui, Chi Ying; Luo, Jikui
SubMac: Exploiting the subword-based computation in RRAM-based CNNaccelerator for energy saving and speedup
Integration, v. 69, November 2019, p. 356-368
Chen, Xizi; Jiang, Jingbo; Zhu, Jingyang; Tsui, Chi Ying

Conference paper 8

A 2.2μW 600kHz Frequency-Locked Relaxation Oscillator with 0.046%/V Voltage and 48.69ppm/°C Temperature Stability for IoT Sensor Node Applications
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, v.2019, June 2019, article number 8778065, p. C44-C45
Meng, Xiaodong; Li, Xing; Zhong, Xiaopeng; Yao, Yuan; Tsui, Chi Ying; Ki, Wing Hung
A 40.68MHz Active Rectifier with Hybrid Delay Compensation Scheme
2018 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2018, 8 January 2019, article number 8605616, p. 501-504
Hu, Langyu; Cheng, Lin; Yao, Yuan; Yim, Tak Sang; Ki, Wing Hung; Tsui, Chi Ying
A 6.78MHz 92.3%-Peak-Efficiency Single-Stage Wireless Charger with CC-CV Charging and On-Chip Bootstrapping Techniques
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, v. 2019, June 2019, article number 8777990, p. C320-C321
Cheng, Lin; Ge, Xinyuan; Ng, Wai Chiu; Ki, Wing Hung; Zheng, Jiawei; Kwok, Tsz Fai; Tsui, Chi Ying; Liu, Ming
A Simplified PWM Controller for Wireless Power Receiver Using a 3-Mode Reconfigurable Resonant Regulating Rectifier
2018 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2018, 8 January 2019, article number 8605613, p. 473-475
Cheng, Lin; Ge, Xinyuan; Ki, Wing Hung; Tsui, Chi Ying
A Two-Staged Adaptive Successive Cancellation List Decoding for Polar Codes
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2019-May, 2019, article number 8702103
Xia, Chenyang; Fan, Youzhe; Tsui, Chi Ying
CompRRAE: RRAM-based convolutional neural network accelerator with reduced computations through a runtime activation estimation
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, New York, USA : Institute of Electrical and Electronics Engineers Inc., 2019, p. 133-139
Chen, Xizi; Jiang, Jingbo; Zhu, Jingyang; Tsui, Chi Ying
Polyimide-Based Flexible 3-Coil Inductive Link Design and Optimization
2018 IEEE Asia Pacific Conference on Circuits and Systems, APCCAS 2018, 8 January 2019, article number 8605614, p. 505-508
Yao, Yuan; Meng, Xiaodong; Tsui, Chi Ying; Ki, Wing Hung
Single-Stage Rectifying Constant-Current Constant-Voltage Charger for Wireless Charging
2019 International SoC Design Conference (ISOCC) / IEEE CAS Society. South Korea : IEEE, 2019, p. 59-60, Article number 9078463
Ki, Wing Hung; Cheng, Lin; Ge, Xinyuan; Tsui, Chi Ying





Article 8

A 10.6 pJ·K2 Resolution FoM Temperature Sensor Using Astable Multivibrator
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 65, (7), July 2018, p. 869-873
Wang, Bo; Law, Man-Kay; Tsui, Chi Ying; Bermak, Amine
A High-Throughput Architecture of List Successive Cancellation Polar Codes Decoder With Large List Size
IEEE Transactions on Signal Processing, v. 66, (14), July 2018, p. 3859-3874
Xia, Chenyang; Chen, Ji; Fan, Youzhe; Tsui, Chi Ying; Jin, Jie; Shen, Hui; Li, Bin
A low-power compression-based CMOS image sensor with microshift-guided SAR ADC
IEEE Transactions on Circuits and Systems II-express Briefs, v. 65, (10), October 2018, article number 8418781, p. 1350-1354
Zhong, Xiaopeng; Zhang, Bo; Bermak, Amine; Tsui, Chi Ying; Law, Man-Kay
Analysis and Design of a Ripple Reduction Chopper Bandpass Amplifier
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 65, (4), April 2018, p. 1185-1195
Zheng, Jiawei; Ki, Wing-Hung; Tsui, Chi-Ying
Dual Transduction Surface Acoustic Wave Gas Sensor for VOC Discrimination
IEEE Electron Device Letters, v. 39, (12), December 2018, article number 8485744, p. 1920-1923
Gao, Feng; Boussaid, Farid; Xuan, Weipeng; Tsui, Chi Ying; Bermak, Amine
Efficient Partial-Sum Network Architectures for List Successive-Cancellation Decoding of Polar Codes
IEEE Transactions on Signal Processing, v. 66, (14), July 2018, p. 3848-3858
Mousavi, Mahsa; Fan, Youzhe; Tsui, Chi Ying; Jin, Jie; Li, Bin; Shen, Hui
Microshift: An Efficient Image Compression Algorithm for Hardware
IEEE Transactions on Circuits and Systems for Video Technology, v. 29, (11), November 2019, article number 8529272, p. 3430-3443
Zhang, Bo; Sander, Pedro V.; Tsui, Chi-Ying; Bermak, Amine
Ultra-Low-Power Smart Electronic Nose System Based on Three-Dimensional Tin Oxide Nanotube Arrays
ACS Nano, v. 12, (6), June 2018, p. 6079-6088
Chen, Jiaqi; Chen, Zhuo; Boussaid, Farid; Zhang, Daquan; Pan, Xiaofang; Zhao, Huijuan; Bermak, Amine; Tsui, Chi Ying; Wang, Xinran; Fan, Zhiyong

Conference paper 9

A 2pJ/pixel/direction MIMO Processing based CMOS Image Sensor for Omnidirectional Local Binary Pattern Extraction and Edge Detection
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2018-June, 22 October 2018, article number 8502214, p. 247-248
Zhong, Xiaopeng; Yu, Qian; Bermak, Amine; Tsui, Chi Ying; Law, May-Kay
A fully integrated analog front end for biopotential signal sensing
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 65, (11), November 2018, article number 8438308, p. 3800-3809
Zheng, Jiawei; Ki, Wing Hung; Tsui, Chi Ying
A High-Throughput and Energy-Efficient RRAM-based Convolutional Neural Network using Data Encoding and Dynamic Quantization
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2018-January, February 2018, p. 123-128
Chen, Xizi; Jiang, Jingbo; Zhu, Jingyang; Tsui, Chi Ying
An Indoor Solar Energy Harvester with Ultra-Low-Power Reconfigurable Power-On-Reset-Styled Voltage Detector
2018 IEEE International Symposium on Circuits and Systems (ISCAS), 2018-May, 26 April 2018, article number 8351096
Meng, Xiaodong; Li, Xing; Yao, Yuan; Tsui, Chi Ying; Ki, Wing Hung
High Performance High Density Gas-FET Array in Standard CMOS
Digest of Technical Papers - Symposium on VLSI Technology, v. 2018-June, October 2018, article number 8510700, p. 39-40
Yu, Qian; Zhong, Xiaopeng; Boussaid, Farid; Bermak, Amine; Tsui, Chi Ying
On Path Memory in List Successive Cancellation Decoder of Polar Codes
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2018-May, April 2018, article number 8351462
Xia, Chenyang; Fan, Youzhe; Chen, Ji; Tsui, Chi Ying
Room-Temperature Dual-Mode CMOS Gas-FET Sensor for Diabetes Detection
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2018-May, April 2018, article number 8351086
Yu, Qian; Boussaid, Farid; Bermak, Amine; Tsui, Chi Ying
Sparsenn: An energy-efficient neural network accelerator exploiting input and output sparsity
Proceedings of 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018, p. 241-244
Zhu, Jingyang; Jiang, Jingbo; Chen, Xizi; Tsui, Chi Ying
Ultra-Low Power Smart Electronic Nose System Based on Three-Dimensional Tin-Oxide Nanotube Arrays for Multiple Gases and Mixture Detection
The Material Research Society Fall Meeting & Exhibit (2018 MRS), Boston USA, 25-30 November 2018
Chen, Jiaqi; Chen, Zhuo; Boussaid, Farid; Tsui, Chi Ying; Bermak, Amine; Fan, Zhiyong





Article 5

A 6.78-MHz Single-Stage Wireless Power Receiver Using a 3-Mode Reconfigurable Resonant Regulating Rectifier
IEEE Journal of Solid-State Circuits, v. 52, (5), May 2017, article number 7864386, p. 1412-1423
Cheng, Lin; Ki, Wing Hung; Tsui, Chi Ying
Chopper Capacitively-Coupled Instrumentation Amplifier Capable of Handling Large Electrode Offset for Biopotential Recordings
IEEE Transactions on Circuits and Systems II: Express Briefs, v.64, (12), December 2017, article number 8012445, p. 1392-1396
Zheng, Jiawei; Ki, Wing Hung; Hu, Langyu; Tsui, Chi Ying
High-Throughput and Energy-Efficient Belief Propagation Polar Code Decoder
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 25, (3), March 2017, article number 7742915, p. 1098-1111
Abbas, Syed Mohsin; Fan, Youzhe; Chen, Ji; Tsui, Chi Ying
Optic Nerve Stimulation System with Adaptive Wireless Powering and Data Telemetry
Micromachines, v.8, (12), December 2017, article number 368
Li, Xing; Lu, Yan; Meng, Xiaodong; Tsui, Chi-Ying; Ki, Wing-Hung
Wireless Power Transfer System With ΣΔ Modulated Transmission Power and Fast Load Response for Implantable Medical Devices
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 64, (3), March 2017, article number 7453177, p. 279-283
Li, Xing; Li, Yinping; Tsui, Chi-Ying; Ki, Wing-Hung

Book chapter 1

Approximate Matrix Inversion for Linear Pre-coders in Massive MIMO
VLSI-SoC: System-on-Chip in the Nanoscale Era – Design, Verification and Reliability / 2017
Abbas, Syed Mohsin; Tsui, Chi Ying

Conference paper 7

A Low-Offset Dynamic Comparator with Area-Efficient and Low-Power Offset Cancellation
2017 IFIP/IEEE 2017 IFIP/IEEE International Conference On Very Large Scale Integration (VLSI-SoC), December 2017, article number 8203481, p. 148-153
Zhong, Xiaopeng; Bermak, Amine; Tsui, Chi Ying
A Wireless Power Receiver with a 3-Level Reconfigurable Resonant Regulating Rectifier for Mobile-Charging Applications
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, April 2017, article number 7858288, p. 33-34
Cheng, Lin; Ki, Wing Hung; Tsui, Chi Ying
An Implementation of List Successive Cancellation Decoder with Large List Size for Polar Codes
2017 27th International Conference on Field Programmable Logic and Applications, FPL 2017, October 2017, article number 8056843
Xia, Chenyang; Fan, Youzhe; Chen, Ji; Tsui, Chi Ying; Zeng, Chongyang; Jin, Jie; Li, Bin
Approximate Matrix Inversion for Linear Pre-coders in Massive MIMO
IFIP Advances in Information and Communication Technology, v. 508, 2017, p. 192-212
Abbas, Syed Mohsin; Tsui, Chi Ying
BHNN: A Memory-Efficient Accelerator for Compressing Deep Neural Networks with Blocked Hashing Techniques
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, February 2017, article number 7858404, p. 690-695
Zhu, Jingyang; Qian, Zhiliang; Tsui, Chi Ying
Concatenated LDPC-Polar Codes Decoding Through Belief Propagation
Proceedings - IEEE International Symposium on Circuits and Systems, September 2017, article number 8050835
Abbas, Syed Mohsin; Chen, Ji; Fan, Youzhe; Tsui, Chi Ying
Dual Transduction Gas Sensor Based on a Surface Acoustic Wave Resonator
Proceedings - IEEE International Symposium on Circuits and Systems, September 2017, article number 8051006
Gao, Feng; Bermak, Amine; Tsui, Chi Ying; Boussaid, Farid





Article 5

A Low-Latency List Successive-Cancellation Decoding Implementation for Polar Codes
IEEE Journal on Selected Areas in Communications, v. 34, (2), February 2016, article number 7339658, p. 303-317
Fan, Youzhe; Xia, Chenyang; Chen, Ji; Tsui, Chi Ying; Jin, Jie; Shen, Hui; Li, Bin
A Support Vector Regression (SVR)-based latency model for Network-on-Chip (NoC) architectures
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 35, (3), March 2016, article number 7229288, p. 471-484
Qian, Zhiliang; Juan, D.C.; Bogdan, P.; Tsui, Chi Ying; Marculescu, D.; Marculescu, R.
An Implantable Medical Device for Transcorneal Electrical Stimulation: Packaging Structure, Process Flow, and Toxicology Test
IEEE Transactions on Components, Packaging and Manufacturing Technology, v. 6, (8), Aug 2016, article number 7509610, p. 1174-1180
Le, Fuliang; Lo, Chi Chuen; Qiu, Xing; Lee, Shi-wei; Li, Xing; Tsui, Chi Ying; Ki, Wing Hung
BiLink: A High Performance NoC Router Architecture Using bi-Directional Link with Double Data Rate
Integration, the VLSI Journal, v. 55, September 2016, p. 30-42
Zhu, Jingyang; Qian, Zhiliang; Tsui, Chi Ying
Performance Evaluation of NoC-Based Multicore Systems: From Traffic Analysis to NoC Latency Modeling
ACM Transactions on Design Automation of Electronic Systems, v. 21, (3), May 2016, article number 52
Qian, ZhiLiang; Bogdan, Paul; Tsui, Chi-ying; Marculescu, Radu

Book 1

VLSI-SoC: Design for Reliability, Security and Low Power: 23rd IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2015, Daejeon, Korea, October 5-7, 2015, Revised Selected Papers
VLSI-SoC: Design for Reliability, Security, and Low Power: 23rd IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2015, Daejeon, Korea, October 5-7, 2015, Revised Selected Papers / Edited by Youngsoo ShinChi Ying TsuiJae-Joon KimKiyoung ChoiRicardo Reis. Switzerland: Springer, 2016. IFIP Advances in Information and Communication Technology, v. 483.
Shin, Youngsoo; Tsui, Chi Ying; Kim, Jae-Joon; Choy, Kiyoung; Reis, Ricardo

Conference paper 9

A 6.78MHz 6W Wireless Power Receiver with a 3-Level 1x / 1/2 x / 0x Reconfigurable Resonant Regulating Rectifier
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 59, February 2016, article number 7418064, p. 376-377
Cheng, Lin; Ki, Wing-Hung; Wong, Tatto; Yim, Taksang; Tsui, Chiying
A Low-Power Chopper Bandpass Amplifier for Biopotential Sensors
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7527230, p. 301-304
Zheng, Jiawei; Ki, Wing Hung; Tsui, Chi Ying
A WLAN 2.4-GHz RF Energy Harvesting System With Reconfigurable Rectifier For Wireless Sensor Network
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7539059, p. 2362-2365
Zeng, Zizhen; Li, Xing; Bermak, Amine; Tsui, Chi Ying; Ki, Wing Hung
An Indoor Solar Energy Harvesting System Using Dual Mode SIDO Converter with Fully Digital Time-Based MPPT
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7539057, p. 2354-2357
Meng, Xiaodong; Li, Xing; Tsui, Chi Ying; Ki, Wing Hung
Hardware decoders for polar codes: An overview
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7527192, p. 149-152
Giard, Pascal; Sarkis, Gabi; Balatsoukas-Stimming, Alexios; Fan, Youzhe; Tsui, Chi Ying; Burg, Andreas Peter; Thibeault, Claude; Gross, Warren J.
Low-Complexity List Successive-Cancellation Decoding of Polar Codes Using List Pruning
2016 IEEE Global Communications Conference, GLOBECOM 2016 - Proceedings, 2016, article number 7841969
Chen, Ji; Fan, Youzhe; Xia, Chenyang; Tsui, Chi Ying; Jin, Jie; Chen, Kai; Li, Bin
Low-Latency Approximate Matrix Inversion for High-Throughput Linear Pre-Coders in Massive MIMO
2016 IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2016, November 2016, article number 7753561
Abbas, Syed Mohsin; Tsui, Chiying
LRADNN: High-throughput and Energy-efficient Deep Neural Network Accelerator Using Low Rank Approximation
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 25-28-January-2016, March 2016, article number 7428074, p. 581-586
Zhu, Jingyang; Qian, Zhiliang; Tsui, Chi Ying
Wireless body sensor network system for health monitoring
Qatar Foundation Annual Research Conference 2016, Doha, Qatar, 22-23 March 2016
Bermak, Amine; Zeng, Z.; Tsui, Chi Ying





Article 4

A 13.56 MHz Wireless Power Transfer System With Reconfigurable Resonant Regulating Rectifier and Wireless Power Control for Implantable Medical Devices
IEEE Journal of Solid-State Circuits, v. 50, (4), April 2015, article number 7035125, p. 978-989
Li, Xing; Tsui, Chi Ying; Ki, Wing Hung
FSNoC: A Flit-level Speedup Scheme for Network On-chips Using Self-reconfigurable Bidirectional Channels
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (9), September 2015, article number 6902815, p. 1854-1867
Qian, Zhiliang; Abbas, Syed Mohsin; Tsui, Chiying
Power Management Analysis of Inductively-Powered Implants with 1X/2X Reconfigurable Rectifier
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 62, (3), March 2015, article number 6977994, p. 617-624
Li, Xing; Tsui, Chi-Ying; Ki, Wing-Hung
Reconfigurable Resonant Regulating Rectifier With Primary Equalization for Extended Coupling- and Loading-Range in Bio-Implant Wireless Power Transfer
IEEE Transactions on Biomedical Circuits and Systems, v. 9, (6), December 2015, p. 875-884
Li, Xing; Meng, Xiaodong; Tsui, Chi Ying; Ki, Wing Hung

Conference paper 7

A Fast Variable Block Size Motion Estimation Algorithm with Refined Search Range for a Two-layer Data Reuse Scheme
2015 IEEE International Symposium on Circuits and Systems (ISCAS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 1206-1209
Jia, Luheng; Tsui, Chi Ying; Au, Oscar Chi Lim; Zheng, Amin
Efficient wireless power transmission technology based on above-CMOS integrated (ACI) high quality inductors
2014 IEEE International Electron Devices Meeting (IEDM), February 2015, article number 7047038, p. 12.4.1-12.4.4
Raju, Salahuddin; Li, Xing; Lu, Yan; Tsui, Chi Ying; Ki, Wing Hung; Chan, Mansun; Yue, Chik Patrick
Fluxless Packaging of an Implantable Medical Device for Transcorneal Electrical Stimulation
Proceedings of the Electronic Packaging Technology Conference, EPTC, v. 2016-February, February 2016, article number 7412282
Le, Fuliang; Lo, Chi Chuen; Qiu, Xing; Lee, Shi-wei; Li, Xing; Tsui, Chi Ying; Ki, Wing Hung
Low complexity belief propagation polar code decoder
2015 IEEE Workshop on Signal Processing Systems (SiPS 2015), v. 2015-December, December 2015, article number 7344986
Abbas, Syed Mohsin; Fan, Youzhe; Chen, Ji; Tsui, Chi Ying
Low-latency List Decoding of Polar Codes With Double Thresholding
ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing - Proceedings Volume 2, August 2015, article number 7178128, p. 1042-1046
Fan, Youzhe; Chen, Ji; Xia, Chenyang; Tsui, Chi Ying; Jin, Jie; Shen, Hui; Li, Bin
UHF energy harvesting system using reconfigurable rectifier for wireless sensor network
2015 IEEE International Symposium on Circuits and Systems (ISCAS), July 2015, article number 7168578, p. 93-96
Li, Xing; Tsui, Chi Ying; Ki, Wing Hung
Wireless Power Transfer System Using Primary Equalizer for Coupling- and Load-Range Extension in Bio-Implant Applications
2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 228-229
Li, Xing; Tsui, Chi-Ying; Ki, Wing-Hung





Article 4

A Novel Single-Inductor Dual-Input Dual-Output DC-DC Converter With PWM Control for Solar Energy Harvesting System
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (8), 2014, article number 6595148, p. 1693-1704
Shao, Hui; Li, Xing; Tsui, Chi-Ying; Ki, Wing-Hung
An Efficient Partial-Sum Network Architecture for Semi-Parallel Polar Codes Decoder Implementation
IEEE Transactions on Signal Processing, v. 62, (12), June 2014, article number 6803952, p. 3165-3179
Fan, YouZhe; Tsui, Chi-ying
Cell-type-specific labeling of synapses in vivo through synaptic tagging with recombination
Neuron, v. 81, (2), January 2014, p. 280-293
Chen, Yi; Akin, Orkun; Nern, Aljoscha; Tsui, Chi Ying; Pecot, Matthew Y.; Zipursky, Stephen Lawrence
Sequential axon-derived signals couple target survival and layer specificity in the Drosophila visual system
Neuron, v. 82, (2), April 2014, p. 320-333
Pecot, Matthew Y.; Chen, Yi; Akin, Orkun; Chen, Zhenqing; Tsui, Chi Ying; Zipursky, Stephen Lawrence

Book chapter 1

A Thermal Aware Routing Algorithm for Application-Specific Network-on-Chip
Routing Algorithms in Networks-on-Chip / Editors: Maurizio Palesi, Masoud Daneshtalab. New York, N.Y. : Springer Science+Business Media, 2013, p. 277-304, 2014 Edition
Qian, Zhiliang; Tsui, Chi Ying

Conference paper 7

A 13.56MHz Wireless Power Transfer System with Reconfigurable Resonant Regulating Rectifier and Wireless Power Control for Implantable Medical Devices
28th IEEE Symposium on VLSI Circuits Digest of Technical Papers, Institute of Electrical and Electronics Engineers (IEEE), 2014
Li, Xing; Tsui, Chi Ying; Ki, Wing Hung
A Comprehensive and Accurate Latency Model for Network-on-Chip Performance Analysis
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2014, article number 6742910, p. 323-328
Qian, Zhiliang; Juan, Da-Cheng; Bogdan, Paul; Tsui, Chi Ying; Marculescu, Diana; Marculescu, Radu
A fast intermode decision algorithm based on analysis of inter prediction residual
2014 IEEE 16th International Workshop on Multimedia Signal Processing (MMSP), November 2014, article number 6958827
Jia, Luheng; Au, Oscar C L; Tsui, Chi Ying; Dai, Wei; Wan, Pengfei
An Adaptive Wireless Powering and Data Telemetry System for Optic Nerve Stimulation
2014 IEEE International Symposium on Circuits and Systems (ISCAS 2014), Institute of Electrical and Electronics Engineers (IEEE), 2014, p. 1404-1407
Li, Xing; Lu, Yan; Tsui, Chi-Ying; Ki, Wing-Hung
An Efficient Network-on-chip (NoC) Based Multicore Platform for Hierarchical Parallel Genetic Algorithms
Proceedings - 2014 8th IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2014, January 2015, article number 7008757, p. 17-24
Xue, Yuankun; Qian, Zhiliang; Wei, Guo Peng; Bogdan, Paul; Tsui, Chi Ying; Marculescu, Radu
Disease Diagnosis-on-a-chip: Large Scale Networks-on-chip Based Multicore Platform for Protein Folding Analysis
Proceedings of the 51st Annual Design Automation Conference, New York, NY, USA : ACM, 2014
Xue, Yuankun; Qian, Zhiliang; Bogdan, Paul; Ye, Fan; Tsui, Chi Ying
Low-latency MAP demapper architecture for coded modulation with iterative decoding
Proceedings of IEEE International Symposium on Circuits and Systems, v. 2014, Jun 2014, article number 6865239, p. 730-733
Fan, Youzhe; Tsui, Chi Ying





Article 1

Energy Harvesting and Power Delivery for Implantable Medical Devices
Foundations and trends in electronic design automation, v. 7, (3), August 2013, p. 179-246
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung

Book chapter 1

Energy Harvesting and Power Delivery for Implantable Medical Devices
Foundations and Trends? in Electronic Design Automation (Book 22) / [Authors]: Chi-Ying Tsui, Xing Li, Wing-Hung Ki. Boston - Delft : Now Publishers Inc, 2013, p. 1-82
Tsui, Chi Ying; Li, Xing; Ki, Wing Hung

Conference paper 3

A 13.56MHz fully integrated 1X/2X active rectifier with compensated bias current for inductively powered devices
Digest of Technical Papers - 2013 60th IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 17 February 2013 - 21 February 2013
Lu, Yan; Li, Xing; Ki, Wing-Hung; Tsui, Chiying; Yue, Patrick Chik
A Diamond Search Window based Adaptive Search Range Algorithm
Electronic Proceedings of the 2013 IEEE International Conference on Multimedia and Expo Workshops, ICMEW 2013, October 2013 , article number 6618263
Jia, Luheng; Au, Oscar Chi Lim; Tsui, Chi Ying; Shi, Yongfang; Ma, Rui; Zhang, Hong
Performance Evaluation of Multicore Systems: From Traffic Analysis to Latency Predictions (Embedded tutorial)
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2013, article number 6691101, p. 82-84
Qian, Zhiliang; Bogdan, Paul; Tsui, Chi Ying; Marculescu, Radu





Book 1

VLSI-SoC 2011 – The Advanced Research for Systems-on-chips
VLSI-SoC 2011 – The Advanced Research for Systems-on-chips / Salvador Mir, Chi-Ying Tsui, Ricardo Reis, Oliver C. S. Choy. Berlin: Springer, 2012
Mir, Salvador; Tsui, Chi-Ying; Reis, Ricardo; Choy, Oliver C.S.

Conference paper 11

A Flit-level Speedup Scheme For Network-on-Chips Using Self-Reconfigurable Bi-directional Channels
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2012, article number 6176692, p. 1295-1300
Qian, Zhiliang; Teh, Ying Fei; Tsui, Chi Ying
A new charge pump analysis and efficiency optimization method for on-chip charge pump
2012 IEEE Faible Tension Faible Consommation, FTFC 2012, Paris, Fance, 2012
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung
A New Multi-phase power Clocking Architecture for Adiabatic Reversible Energy Recovery Logic
International Conference on Engineering and Applied Science (ICEAS 2012), Beijing, China, July 24-27 2012, p. 265-278
Choi, Ricky Yiu Kee; Tsui, Chi-Ying
A Novel Offset Cancellation Technique for Dynamic Comparator Latch
IEEE 55th International Midwest Symposium on Circuits and Systems, Boise, Idaho, USA, August 5-8 2012, p. 614-617
Choi, Ricky Yiu-kee; Tsui, Chi-ying
A Traffic-aware Adaptive Routing Algorithm on a Highly Reconfigurable Network-on-Chip Architecture
10th ACM International Conference on Hardware/Software-Codesign and System Synthesis, CODES+ISSS 2012, Co-located with 8th Embedded Systems Week, ESWEEK 2012:Proceedings of the 10th ACM International Conference on Hardware/Software-Codesign and System Synthesis, Tampere, Finland, 2012, p.161-170
Qian, Zhiliang; Bogdan, Paul; Wei, Guopeng; Tsui, Chi-Ying; Marculescu, Radu
Analysis and design strategy of on-chip charge pumps for micro-power energy harvesting applications
IFIP Advances in Information and Communication Technology, v. 379, 2012, p. 158-186
Ki, Wing-Hung; Lu, Yan; Su, Feng; Tsui, Chi-Ying
Low-complexity Rotated QAM Demapper for the Iterative Receiver Targeting DVB-T2 Standard
2012 IEEE VEHICULAR TECHNOLOGY CONFERENCE (VTC FALL), Quebec City Canada, September 3-6 2012
Fan, YouZhe; Tsui, Chi-ying
Pipelined architecture of low energy SAR ADC
International Conference on Electrical Engineering and Computer Science (EECS 2012), Shanghai, China, August 17-20 2012, p. 198-203
Choi, Ricky Yiu Kee; Tsui, Chi-Ying
Rate and Power Allocation for 2-Level Superposition Coded Modulation Supporting Both Unicast and Multicast Traffic
8th IEEE International Conference on Wireless Communications, Networking and Mobile Computing, Shanghai, China, September 21-23 2012
Fan, YouZhe; Tsui, Chi-ying
Solar energy harvesting system design using re-configurable charge pump
2012 IEEE Faible Tension Faible Consommation ,Paris, France, 2012, June, p. 1-4, Article number 6231747
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung
SVR-NoC: A performance analysis tool for Network-on-Chips using learning-based support vector regression model
Proceedings -Design, Automation and Test in Europe, v. 2013, 2013, article number 6513529, p. 354-357
Qian, Zhiliang; Juan, Da-Cheng; Bogdan, Paul; Tsui, Chi Ying; Marculescu, Diana; Marculescu, Radu





Article 2

Low energy multi-stage level converter for sub-threshold logic
IET Computers and Digital Techniques, v. 5, (5), September 2011, p. 375-385
Shao, Hui; Li, Xing; Tsui, Chi-Ying
Vibration Energy Scavenging System With Maximum Power Tracking for Micropower Applications
IEEE transactions on very large scale integration (VLSI) systems, v. 19, (11), November 2011, p. 2109-2119
Lu, Chao; Tsui, Chi-Ying; Ki, Wing-Hung

Conference paper 8

A fault-tolerant network-on-chip design using dynamic reconfiguration of partial-faulty routing resources
2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, VLSI-SoC 2011, Hong Kong, 2011, p.192-195
Qian, Zhiliang; Teh, Ying-Fei; Tsui, Chi Ying
A fault-tolerant NoC using combined link sharing and partial fault link utilization scheme
2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, VLSI-SoC 2011, Hong Kong, 2011, p. 296-301
Teh, Ying-Fei; Qian, Zhiliang; Tsui, Chi Ying
A low-complexity image compression algorithm for Address-Event Representation (AER) PWM image sensors
Proceedings - IEEE International Symposium on Circuits and Systems, 2011, p. 2825-2828
Chen, D.G.; Bermak, Amine; Tsui, C.Y.
A Thermal-aware Application Specific Routing Algorithm for Network-on-Chip Design
IEEE/ACM 2011 16th Asia and South Pacific Design Automation Conference (ASP-DAC), 2011, Yokohama, Japan, 2011, Article number 5722232, p. 449-454
Qian, Zhiliang; Tsui, Chi-Ying
An adaptive multi-stage rectifier for RF energy harvesting applications
VLSI SoC, Hong Kong, 2011
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung
Design and analysis of on-chip charge pumps for micro-power energy harvesting applications
2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, VLSI-SoC 2011, 2011, p. 374-379
Ki, Wing-Hung; Lu, Yan; Su, Feng; Tsui, Chi-Ying
Efficient iterative receiver for LDPC coded wireless IPTV system
Proceedings - International Conference on Image Processing(ICIP), Brussels, 2011, p. 953-956
Fan, Youzhe; She, James; Tsui, Chi Ying
Thermal modeling of three-dimensional integrated circuits considering the thermal removal capability of different TSVs
IEEE 2011 3rd Asia Symposium on Quality Electronic Design (ASQED), Malysia, 2011, p. 1-7
Ding, Hua; Wang, Wei; Qian, Zhiliang; Tsui, Chi Ying; Lai, Liangzhen





Article 3

A system-on-chip EPC Gen-2 passive UHF RFID tag with embedded temperature sensor
IEEE Journal of Solid-State Circuits, v. 45, (11), 2010, p. 2404-2420
Yin, Jun; Yi, Jun; Law, Man Kay; Ling, Yunxiao; Lee, Man Chiu; Ng, Kwok Ping; Gao, Bo; Luong, Howard; Bermak, Amine; Chan, Man Sun; Ki, Wing-Hung; Tsui, Chiying; Yuen,Matthew Ming Fai
An Energy Efficient Layered Decoding Architecture for LDPC Decoder
IEEE TRANSACTIONS on very LARGE SCALE INTEGRATION (vlsi) SYSTEMS, v. 18, (8), 2010, AUG, p. 1185-1195
Jin, Jie; Tsui, Chi-ying
Joint Routing and Sleep Scheduling for Lifetime Maximization of Wireless Sensor Networks
IEEE transactions on wireless communications, v. 9, (7), 2010, JUL, p. 2258-2267
Liu, Feng; Tsui, Chi-Ying; Zhang, Ying Jun (Angela)

Conference paper 5

A single inductor DIDO DC-DC converter for solar energy harvesting applications using band-band control
Proceedings of the 2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC 2010, 2010, p. 167-172
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung
A system-on-chip EPC Gen-2 passive UHF RFID tag with embedded temperature sensor
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 53, 2010, p. 308-309
Yin, Jun; Yi, Jun; Law, Man Kay; Ling, Yunxiao; Lee, Man Chiu; Ng, Kwok Ping; Gao, Bo; Luong, Howard C.; Bermak, Amine; Chan, Man Sun; Ki, Wing-Hung; Tsui, Chi-Ying; Yuen, Matthew Ming-Fai
Analysis of the heat removal capability of the power distribution network in 3D ICs
International Microsystems Packaging Assembly and Circuits Technology Conference, IMPACT 2010 and International 3D IC Conference, Proceedings, 2010
Lai, L.; Ding, H.; Tsui, C.Y.
Maximizing the Harvested Energy for Micro-power Applications through Efficient MPPT and PMU Design
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2010, p. 75-80
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung
System Level Power Optimizations for EPC RFID Tags to Improve Sensitivity Using Load Power Shaping and Operation Scheduling
IEEE International Symposium on Circuits and Systems, Paris, May 30- June 2, pp 3012-3015
Ling, Yunxiao; Yi, Jun; Tsui, Chi Ying; Ki, Wing Hung





Article 2

Regulated Switched-Capacitor Doubler With Interleaving Control for Continuous Output Regulation
IEEE journal of solid-state circuits, v. 44, (4), 2009, APR, p. 1112-1120
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying
The Design of a Micro Power Management System for Applications Using Photovoltaic Cells With the Maximum Output Power Control
IEEE TRANSACTIONS on very LARGE SCALE INTEGRATION (vlsi) SYSTEMS, v. 17, (8), 2009, AUG, p. 1138-1142
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung

Conference paper 7

A Hybrid Algorithm and its Re-configurable Architecture for MIMO Detector
52nd IEEE Mid-west Symposium on Circuits and Systems, Cancun, Mexico, 2-5 Aug 2009, p. 297-300
Luo, Dan; Tsui, Chi Ying
A low energy two-step successive approximation algorithm for ADC design
Proceedings - IEEE International Symposium on Circuits and Systems, 2009, p. 17-20
Choi, R.Y.K.; Tsui, C.Y.
A single inductor dual input dual output DC-DC converter with hybrid supplies for solar energy harvesting applications
Proceedings of the International Symposium on Low Power Electronics and Design, 2009, p. 69-74
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung
An inductor-less MPPT design for light energy harvesting systems
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2009, p. 101-102
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung
Dual-Power-path RF-DC Multi-Output Power Management Unit for RFID Tags
Proceedings of IEEE Symposium on VLSI Circuits, Kyoto, Japan, 16-18 June 2009, p. 200-201
Yi, Jun; Ki, Wing-Hung; Mok, Philip; Tsui, Chi-Ying
Improving the hardware utilization efficiency of partially parallel LDPC decoder with scheduling and sub-matrix decomposition
Proceedings - IEEE International Symposium on Circuits and Systems, 2009, p. 2233-2236
Jin, J.; Tsui, C.Y.
Low Energy Level Converter Design for Sub-V(th) Logics
PROCEEDINGS OF THE ASP-DAC 2009: ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 2009, 2009, p. 107-108
Shao, Hui; Tsui, Chi-Ying





Article 4

A single-chip UHF RFID reader in 0.18 mu m CMOS process
IEEE journal of solid-state circuits, v. 43, (8), 2008, AUG, p. 1741-1754
Wang, Wenting; Lou, Shuzuo; Chui, Kay W.C.; Rong, Sujiang; Lok, Chi Fung; Zheng, Hui; Chan, Hin-Tat; Man, Sau-Wing; Luong, Howard Cam; Lau, Vincent Kin Nang; Tsui, Chi-Ying
IPqML:基于QIP标准的IP质量评测辅助系统
计算机辅助设计与图形学学报=Journal of Compute-Aided Design and Graphics, v. 2008, (12), 2008, p. 1563-1568
周萌; 崔志英; 高明倫
Minimizing the dynamic and sub-threshold leakage power consumption using least leakage vector-assisted technology mapping
Integration-the VLSI journal, v. 41, (1), 2008, JAN, p. 76-86
Tsui, Chi-ying; Au, Robert Yi-Ching; Choi, Ricky Yiu-Kee
Ultra fast fixed-frequency hysteretic buck converter with maximum charging current control and adaptive delay compensation for DVS applications
IEEE journal of solid-state circuits, v. 43, (4), 2008, APR, p. 815-822
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying

Conference paper 8

A low energy two-step successive approximation algorithm for ADC design
ISQED 2008: PROCEEDINGS OF THE NINTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN, 2008, p. 317-320
Choi, Ricky Yiu-kee; Tsui, Chi-ying
A low power layered decoding architecture for LDPC decoder implementation for IEEE 802.11n LDPC codes
Proceedings of the International Symposium on Low Power Electronics and Design, 2008, p. 253-258
Jin, J.; Tsui, C.Y.
A single-chip UHF RFID reader in 0.18-μm CMOS
Proceedings of the Custom Integrated Circuits Conference, 2008, p. 111-114
Wang, Wenting; Lou, Shuzuo; Chui, Kay W.C.; Rong, Sujiang; Lok, Chi Fung; Zheng, Hui; Chan, Hin Tat; Man, Sauwing; Luong, Howard Cam; Lau, Vincent Kin Nang; Tsui, Chi Ying
An energy-adaptive MPPT power management unit for micro-power vibration energy harvesting
IEEE International Symposium on Circuits & Systems (ISCAS), 2008, p. 2570-2573
Yi, Jun; Su, Feng; Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying
An SC voltage regulator with novel area-efficient continuous output regulation by dual-branch interleaving control scheme
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2008, p. 136-137
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying
An SC voltage regulator with novel area-efficient continuous output regulation by dual-branch interleaving control scheme
2008 IEEE SYMPOSIUM ON VLSI CIRCUITS, 2008, p. 109-110
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying
Integrated single-inductor dual-input dual-output boost converter for energy harvesting applications
IEEE International Symposium on Circuits & Systems (ISCAS), 2008, p. 2218-2221
Sze, Ngok-Man; Su, Feng; Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying
Threshold voltage start-up boost converter for sub-mA applications
DELTA 2008: FOURTH IEEE INTERNATIONAL SYMPOSIUM ON ELECTRONIC DESIGN, TEST AND APPLICATIONS, PROCEEDINGS, 2008, p. 338-341
Sze, Ngok-Man; Ki, Wing-Hung; Tsui, Chi-Ying





Article 3

A mux-based high-performance single-cycle CMOS comparator
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 54, (7), 2007, JUL, p. 591-595
Lam, Hing-Mo; Tsui, Chi-Ying
Analysis and Design Strategy of UHF Micro-Power CMOS Rectifiers for Micro-Sensor and RFID Applications
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 54, (1), January 2007, p. 153-166
Yi, Jun; Ki, Wing-Hung; Tsui, Chi-Ying
Low-power limited-search parallel state Viterbi decoder implementation based on scarce state transition
IEEE TRANSACTIONS on very LARGE SCALE INTEGRATION (vlsi) SYSTEMS, v. 15, (10), 2007, OCT, p. 1172-1176
Jin, Jie; Tsui, Chi-Ying

Conference paper 14

A batteryless vibration-based energy harvesting system for ultra low power ubiquitous applications
Proceedings - IEEE International Symposium on Circuits and Systems, 2007, p. 1349-1352
Chao, Lu; Tsui, Chi-Ying; Ki, Wing-Hung
A Micro Power Management System and Maximum Output Power Control for Solar Energy Harvesting Applications
ISLPED'07: PROCEEDINGS OF THE 2007 INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN, 2007, p. 298-303
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung
A mixed-signal architecture of channel select filtering with oversampled ADC for multi-standard RFID reader receiver
2007 IEEE International Conference on RFID, IEEE RFID 2007, 2007, article number 4143518, p. 108-114
Chan, Hin-Tat; Wang, Wenting; Lok, Chi Fung; Lau, Vincent K.; Tsui, Chi-Ying; Luong, Howard C.
A mixed-signal architecture of channel select filtering with oversampled ADC for multi-standard RFID reader receiver
2007 IEEE International Conference on RFID, 2007, p. 87-93
Chan, Hin-Tat; Wang, Wenting; Lok, Chi Fung; Lau, Vincent Kin Nang; Tsui, Chi-Ying; Luong, Howard C.
A robust, input voltage adaptive and low energy consumption level converter for sub-threshold logic
ESSCIRC 2007 - Proceedings of the 33rd European Solid-State Circuits Conference, 2007, p. 312-315
Shao, H.; Tsui, C.Y.
A Scalable Frame-Level Pipelined Architecture for FSBM Motion Estimation
IEEE International Conference on VLSI Design,Bangalore, India, Jan. 2007,pp. 830-835
He, Wei feng; Zhao, M.L.; Tsui, Chi Ying; Mao, Zhi Gan
An inductor-less micro solar power management system design for energy harvesting applications
IEEE International Symposium on Circuits & Systems (ISCAS), 2007, p. 1353-1356
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung
An ultra fast fixed frequency buck converter with maximum charging current control and adaptive delay compensation for DVS applications
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2007, p. 28-29
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying
Design and implementation of a low-power baseband-system for RFID tag
Proceedings - IEEE International Symposium on Circuits and Systems, 2007, p. 1585-1588
Man, Adam S. W.; Zhang, Edward S.; Chan, Hin Tat; Lau, Vincent Kin Nang; Tsui, Chi Ying; Luong, Howard Cam
Energy-aware synthesis of networks-on-chip implemented with voltage islands
DAC, 2007, p. 128-131
Leung, Lap-Fai; Tsui, Chi-Ying
Low power VLSI design for a RFID passive tag baseband system enhanced with an AES cryptography engine
2007 1st Annual RFID Eurasia, 2007
Man, Siu Wan; Zhang, Edward S.; Lau, Vincent Kin Nang; Tsui, Chi Ying; Luong, Howard Cam
Low power VLSI design for a RFID passive tag baseband system enhanced with an AES cryptography engine
PROCEEDINGS OF THE 1ST RFID EURASIA CONFERENCE, 2007, p. 58-63
Man, Adam S.W.; Zhan-, Edward S.; Lau, Vincent Kin Nang; Tsui, Chi-Ying; Luono, Howard C.
Single-Chip UHF RFID reader in 0.18- μm CMOS
Proceedings of the IEEE 2007 Custom Integrated Circuits Conference, CICC 2007, 2007, article number 4405693, p. 111-114
Wang, Wenting; Lou, Shuzuo; Chui, Kay W.C.; Rong, Sujiang; Lok, Chi Fung; Zheng, Hui; Chan, Hin Tat; Man, Sauwing; Luong, Howard Cam; Lau, Vincent Kin Nang; Tsui, Chi Ying
Vibration Energy Scavenging and Management for Ultra Low Power Applications
ISLPED'07: PROCEEDINGS OF THE 2007 INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN, 2007, p. 316-321
Chao, Lu; Tsui, Chi-Ying; Ki, Wing-Hung





Article 4

HIGH PERFORMANCE LOW POWER COMPLETION DETECTION CIRCUIT
IEE Electronic Letters, vol. 42, No. 2, pp. 75-77, Jan., 2006
Lam, Hing Mo Jeff; Tsui, Chi Ying
High-performance single clock cycle CMOS comparator
Electronics letters, v. 42, (2), 2006, JAN 19, p. 75-77
Lam, HM; Tsui, CY
Integrated low-loss CMOS active rectifier for wirelessly powered devices
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 53, (12), 2006, DEC, p. 1378-1382
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying
Modelling of multilayer on-chip transformers
IEE proceedings. Microwaves, antennas and propagation, v. 153, (5), 2006, p. 483-486
Tsui, C.; Tong, K.Y.

Conference paper 12

A low power Viterbi decoder implementation using Scarce State Transition and path pruning scheme for high throughput wireless applications
ISLPED '06: Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, p. 406-411
Jin, Jie; Tsui, Chi-Ying
A mixed-signal architecture of channel select filtering with oversampled ADC for multi-standard RFID reader receiver
2006 International Symposium on Industrial Embedded Systems, 2006, p. 108-114
Chan, Hin-Tat; Wang, Wenting; Lok, Chi Fung; Lau, Vincent K.; Tsui, Chi-Ying; Luong, Howard C.
A novel charge based computation system and control strategy for energy harvesting applications
Proceedings - IEEE International Symposium on Circuits and Systems, 2006, p. 2933-2936
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung
Adaptively-biased capacitor-less CMOS low dropout regulator with direct current feedback
ASP-DAC 2006: 11TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, PROCEEDINGS, 2006, p. 104-105
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying
An integrated 1.8V to 3.3V regulated voltage doubler using active diodes and dual-loop voltage follower for switch-capacitive load
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2006, p. 85-86
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying
Energy-aware optimal workload allocation among the battery-powered devices to maximize the co-operation life time
IEEE International Symposium on Circuits & Systems (ISCAS), 2006, p. 5299-5302
Liu, Feng; Tsui, Chi-Ying
High efficiency cross-coupled doubler with no reversion loss
IEEE International Symposium on Circuits & Systems (ISCAS), 2006, p. 2761-2764
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying
High performance single clock cycle CMOS comparator
IEEE International Symposium on Circuits & Systems (ISCAS), 2006, p. 779-782
Lam, Hing-mo; Tsui, Chi-ying
Integrated direct output current control switching converter using symmetrically-matched self-biased current sensors
ASP-DAC 2006: 11TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, PROCEEDINGS, 2006, p. 102-103
Lam, Yat-Hei; Koon, Suet-Chui; Ki, Wing-Hung; Tsui, Chi-Ying
Low complexity SST Viterbi decoder
IEEE Vehicular Technology Conference, 2006, p. 1379-1380
Jie, J.; Tsui, C.Y.
Optimal link scheduling on improving best-effort and guaranteed services performance in network-on-chip systems
DAC, 2006, p. 833-838
Leung, Lap-Fai; Tsui, Chi-Ying
Ultra-low voltage power management circuit and computation methodology for energy harvesting applications
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2006, 2006, p. 96-97
Tsui, Chi-Ying; Shao, Hui; Ki, Wing-Hung; Su, Feng





Article 2

A physical analytical model of multilayer on-chip inductors
IEEE transactions on microwave theory and techniques, v. 53, (4 I), 2005, p. 1143-1148
Tong, K.Y.; Tsui, C.
A Programmable Integrated Digital Controller for Switching Converters with Dual-band Switching and Complex Pole-zero Compensation
IEEE Journal of Solid-state Circuits, v. 40, (3), 2005, MAR, p. 772-780
Chui, MYK; Ki, Wing Hung; Tsui, Chi Ying

Conference paper 6

A data discarding framework for reducing the energy consumption of Viterbi decoder in decoding broadcasted wireless multi-resolution JPEG2000 images
PROCEEDINGS OF THE 2005 3RD WORKSHOP ON EMBEDDED SYSTEMS FOR REAL-TIME MULTIMEDIA, 2005, p. 21-26
Liu, F.; Tsui, CY
A threshold-based algorithm and VLSI architecture of a K-best lattice decoder for MIMO systems
2005 IEEE International Symposium on Circuits and Systems (ISCAS), v. 1-6, 2005, p. 3359-3362
Jie, Jin; Tsui, Chi-Ying; Mow, Wai Ho
Charge redistribution loss consideration in optimal charge pump design
IEEE International Symposium on Circuits & Systems (ISCAS), 2005, p. 1895-1898
Ki, WH; Su, F.; Tsui, CY
Exploiting dynamic workload variation in low energy preemptive task scheduling
Proceedings - Design, Automation, and Test in Europe Conference and Exhibition, 2005, p. 634-639
Leung, LF; Tsui, CY; Hu, XBS
Gate control strategies for high efficiency charge pumps
IEEE International Symposium on Circuits & Systems (ISCAS), 2005, p. 1907-1910
Su, Feng; Ki, Wing Hung; Tsui, Chi Ying
Ultra-low voltage power management and computation methodology for energy harvesting applications
2005 Symposium on VLSI Circuits, Digest of Technical Papers, 2005, p. 316-319
Tsui, Chi Ying; Shao, Hui; Ki, Wing Hung; Su, Feng





Article 2

An Integrated One-cycle Control Buck Converter with Adaptive Output and Dual Loops for Output Error Correction
IEEE journal of solid-state circuits, v. 39, (1), 2004, JAN, p. 140-149
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying
Exploiting dynamic workload variation in offline low energy voltage scheduling
Lecture Notes in Computer Science, v. 3254, 2004, p. 553-563
Leung, LF; Tsui, CY; Hu, XS

Conference paper 11

A dual-band switching digital controller for a buck converter
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, p. 561-562
Chui, M.Y.K.; Ki, Wing-Hung; Tsui, Chi-Ying
Adaptive spectrum-based variable bit truncation of discrete cosine transform (DCT) for energy-efficient wireless multimedia communication
PROCEEDINGS OF THE 2004 2ND WORKSHOP ON EMBEDDED SYSTEMS FOR REAL-TIME MULTIMEDIA, 2004, p. 81-86
Liu, F.; Tsui, CY
Dynamic reconfigurable bus encoding scheme for reducing the energy consumption of deep sub-micron instruction bus
2004 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 2, PROCEEDINGS, 2004, p. 321-324
Wong, SK; Tsui, CY
Fast adaptive DC-DC conversion using dual-loop one-cycle control in standard digital CMOS process
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004, p. 539-540
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying
Integrated 0.9V charge-control switching converter with self-biased current sensor
Midwest Symposium on Circuits and Systems, v. 2, 2004, p.305-308
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying; Ma, Dongsheng
Least leakage vector assisted technology mapping for total power optimization
2004 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 5, PROCEEDINGS, 2004, p. 145-148
Au, YC; Tsui, CY
Minimizing energy consumption of hard real-time systems with simultaneous tasks scheduling and voltage assignment using statistical data
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004, p. 663-665
Leung, Lay F.; Tsui, Chi Ying; Ki, Wing Hung
Minimizing Energy Consumption of Multiple-Processors-Core Systems with Simultaneous Tasks Allocation, Scheduling and Voltage Assignment
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004, p. 647-652
Leung, Lap F.; Tsui, Chi Ying; Ki, Wing Hung
Power Control of CDMA Systems With Successive Interference Cancellation Using the Knowledge of Battery Power Capacity
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, p. 125-130
Wang, Yan; Tsui, Chi Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho
Re-configurable bus encoding scheme for reducing power consumption of the cross coupling capacitance for deep sub-micron instruction bus
DESIGN, AUTOMATION AND TEST IN EUROPE CONFERENCE AND EXHIBITION, VOLS 1 AND 2, PROCEEDINGS, 2004, p. 130-135
Wong, SK; Tsui, CY
Scalable scheduling architectures for high-performance crossbar-based switches
IEEE Workshop on High Performance Switching and Routing, HPSR, 2004, p. 104-110
Liu, J.; Hamdi, Mounir; Hu, Q.; Tsui, Chi Ying





Article 3

A gate duplication technique for timing optimization
Canadian Journal of Electrical and Computer Engineering, v. 28, (1), January 2003, p. 37-40
Chen, Chunhong; Tsui, Chi Ying; Ahmadi, Majid
A pseudo-CCM/DCM SIMO switching converter with freewheel switching
IEEE journal of solid-state circuits, v. 38, (6), 2003, JUN, p. 1007-1014
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying
Single-inductor multiple-output switching converters with time-multiplexing control in discontinuous conduction mode
IEEE journal of solid-state circuits, v. 38, (1), January 2003, p. 89-100
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying; Mok, Philip Kwok Tai

Conference paper 6

An integrated digital controller for DC-DC switching converter with dual-band switching
2003 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS, 2003, p. 45-48
Chui, Yeung Kei; Ki, Wing Hung; Tsui, Chi Ying
Design and implementation of high-speed arbiter for large scale VOQ crossbar switches
IEEE international solid-state circuits conference, San Francisco, USA, 2003
Hung, CK; Hamdi, Mounir; Tsui, Chi Ying
High performance and low power completion detection circuit
IEEE international symposium on circuits and systems, Unknown, 2003
Lam, HM; Tsui, Chi Ying
Simultaneous task allocation, scheduling and voltage assignment for multiple-processors-core systems using mixed integer nonlinear programming
IEEE international symposium on circuits and systems location, Unknown, 2003
Leung, Lap Fai; Tsui, Chi Ying; Ki, Wing Hung
Single-inductor dual-input dual-output switching converter for integrated battery charging and power regulation
IEEE international symposium on circuits and systems location, Unknown, 2003
Lam, Yat Hei; Ki, Wing Hung; Tsui, Chi Ying; Mok, Philip Kwok Tai
Threshold Channel Estimation for OFDM in Wireless Systems
IEEE Vehicular Technology Conference, v. 57, (3), 2003, p. 1586-1589
Wang, Yan; Tsui, Chi Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho





Conference paper 10

A 2Gb/s 256{*}256 CMOS crossbar switch fabric core design using pipelined MUX
2002 IEEE International Symposium on Circuits and systems, Scottsdale, Arizona, USA, 2002
Wu, T.; Tsui, CY; Hamdi, Mounir
A Fast Response Adaptive DC-DC Switching Converter using On-chip Dual-Loop One-cycle control
IEEE European Solid-State Circuit Conference, Firenze, Italy, September 2002
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying
A pseudo-CCM / DCM SIMO switching converter with freewheel switching
IEEE International Solid-State Circuits Conference, San Francisco, USA, 2002
Ma, Dongsheng; Ki, Wing-Hung; Tsui, Chi-Ying
A pseudo-CCM/DCM SIMO switching converter with freewheel switching
IEEE International Solid-State Circuits Conference, San Francisco, USA, 2002
Ma, Dongsheng; Ki, Wing-Hung; Tsui, Chi-Ying
A VLSI Architecture of a K-Best Lattice Decoding Algorithm for MIMO Channels
Proceedings - IEEE International Symposium on Circuits and Systems, v. 3, 2002, p. 273-276
Wong, Kwan Wai; Tsui, Chi Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho
Bi-directional integrated charge pumps
2002 IEEE International Symposium on circuits and systems, Scottsdale, Arizona, USA, 2002
Chan, Chit Sang; Ki, Wing Hung; Tsui, Chi Ying
CMOS Crossbar S
14th Hot chip Symposium, Unknown, August 2002
Wu, Ting; Tsui, Chi Ying; Hamdi, Mounir
Low complexity OFDM receiver using Log-FFT for coded OFDM system
2002 IEEE International Symposium on Circuits and Systems, v. 3, 2002, p. 445-448
Wang, Yan; Lam, Hing Mo; Tsui, Chi-Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho
Performance study of OFDM receiver using FFT based on Log number system
Vehicular Technology Conference. IEEE 55th Vehicular Technology Conference. VTC, 2002, p. 1257-1259
Wang, Yan; Tsui, Chi Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho
Stable round-robin scheduling algorithms for high-performance input queued switches
Proceedings Hot Interconnects 10: 10th Symposium on High Performance Interconnects / IEEE Computer Society. , 2002, p. 43-51
Liu, Jing; Kit, Hung Chun; Hamdi, Mounir; Tsui, Chi Ying





Article 2

Maximum Likelihood Lattice Decoding for MIMO Channels
IEICE Trans. Communications, 2001, p. E84-B(10)
Wong, Kwan Wai; Tsui, Chi Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho
Reducing Power Consumption of Turbo-Code Decoder Using Adaptive Iteration With Variable Supply Voltage
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 9, (1), February 2001, p. 34-41
Leung, Oliver Yuk Hang; Tsui, Chi Ying; Cheng, Roger Shu Kwan

Conference paper 4

A 1.8V single-inductor dual-output switching converter for power reduction techniques
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, Unknown, 2001
Ma, Dongsheng; Ki, Wing-Hung; Tsui, Chi-Ying; Mok, Philip Kwok Tai
A single-inductor dual-output integrated DC/DC boost converter for variable voltage scheduling
THE ASP-DAC 2000: Asia and South Pacific design automation Conference, Taiwan, 2001
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying; Mok, Philip Kwok Tai
Reduced-Complexity Maximum Likelihood Lattice Decoder for MIMO Channels
2001 IEICE Asian Pacific Communication Conference, Tokyo, Japan, 2001
Wong, Kwan Wai; Tsui, Chi Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho
Single-inductor multiple-output switching converters with bipolar outputs
IEEE International Symposium on Circuits and Systems, Unknown, 2001
Ma, Dongsheng; Ki, Wing-Hung; Mok, Philip Kwok Tai; Tsui, Chi-Ying





Article 2

Low Power Rake Receiver and Viterbi Decoder Design for CDMA Applications
Wireless Personal Communications, v. 14, (1), July 2000, p. 49-64
Tsui, Chi Ying; Cheng, Roger Shu Kwan; Ling, Curtis
Low-power VLSI design for motion estimation using adaptive pixel truncation
IEEE transactions on circuits and systems for video technology, v. 10, (5), August 2000, p. 669-678
He, ZL; Tsui, Chi Ying; Chan, KK; Liou, ML

Conference paper 9

A low complexity architecture of the V-BLAST system
2000 IEEE Wireless Communications and Networking Conference, Unknown, 2000
Wai, Wong Kwan; Tsui, Chi Ying; Cheng, Roger Shu Kwan
A low power VLSI architecture of SOVA-based Turbo-code decoder using scarce state transition scheme
Proceedings of IEEE International Symposium on Circuits and Systems(ISCAS), v. 1, May 2000, p. 1-283-1-286
Wang, Yan; Tsui, Chin Ying; Cheng, Roger Shu Kwan
A reduced complexity implementation of the Log-Map algorithm for Turbo-codes decoding
ICASSP, IEEE International Conference on Acoustics, Speech and Signal, Phoenix, Arizona, USA, 5 June 2000 - 9 June 2000
Wang, Yan; Tsui, Chi Ying; Cheng, Roger Shu Kwan
Composite interference cancellation scheme for CDMA systems
IEEE Global Telecommunications Conference, Unknown, 2000
Poon, Billy Chi-Kin; Tsui, Chi-Ying; Cheng, Roger Shu Kwan
Low complexity VLSI implementation of a Joint Successive Interference Cancellation with Interleaving scheme
IEEE International Symposium on Circuits and Systems, Geneva, 28 May 2000 - 31 May 2000
Wong, Bob Ka Man; Tsui, Chi Ying; Cheng, Roger Shu Kwan
Low power 2-D array VLSI architecture for block matching motion estimation using computation suspension
IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation, Unknown, 2000
Lam, Kin-Hung; Tsui, Chi-Ying
Low power controller optimization based on data path pattern extraction
IEEE International ASIC Conference and Exhibit, USA, 2000
Zou, Pei-Qing; Tsui, Chi-Ying
VLSI implementation of a switch fabric for mixed ATM and IP traffic
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2000, January 2005, p. 5-6
Tsui, Chi Ying; Kwan, Chung Yin Louis; Lea, Chin-Tau
VLSI Implementation of Rake Receiver for IS-95 CDMA Testbed Using FPGA
Asia and South Pacific Design Automation Conference, Yokohama, Japan, 25 - 28 January 2000
Leung, Oliver; Tsui, Chi Ying; Cheng, Roger S.K.





Article 1

A/I net: A network that integrates ATM and IP
IEEE network, v. 13, (1), January 1999, p. 48-55
Lea, Chin Tau; Tsui, Chi Ying; Li, Bo; Kwan, LCY; Chan, SKM; Chan, AHW

Conference paper 7

A real-time sub-carrier allocation scheme for multiple access downlink OFDM transmission
IEEE Vehicular Technology Conference, Houston, Texas, USA, 19 September 1999 - 22 September 1999
Wong, Cheongyui; Tsui, Chi-Ying; Cheng, Roger S.K.; Ben letaief, Khaled
Adaptive tracking of optimal bit and power allocation for OFDM systems in time-varying channels
1999 IEEE Wireless Communications and Networking Conference, New Orleans, LA, USA, 21-24 September 1999
Lai, Saikit; Cheng, Roger S.K.; Ben letaief, Khaled; Tsui, Chi Ying
Adaptive tracking of optimal bit and power allocation for OFDM systems in time-varying channels
1999 IEEE Wireless Communications and Networking Conference, v. 2, 1999, p. 776-780
Lai, Saikit; Cheng, Roger S.K.; Ben letaief, Khaled; Tsui, Chi Ying
Finite state machine partitioning for low power
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Hoes Lane, Piscataway, NJ, 1999
Lee, WK; Tsui, Chi Ying
Low power ACS unit design for the Viterbi Decoder
IEEE International Symposium on Circuits and Systems, Orlando, Florida, USA, 30 May 1999 - 2 June 1999
Tsui, Chi Ying; Cheng, Roger Shu Kwan; Ling, C.
Reducing Power Consumption of Turbo Code Decoder Using Adaptive Iteration With Variable Supply Voltage
Proceedings of the International Symposium on Low Power Electronics and Design, Digest of Technical Papers, 1999, p. 36-41
Leung, Oliver Yuk-Hang; Yue, Chung Wai; Tsui, Chi Ying; Cheng, Roger Shu Kwan
Unequal error protection for wireless transmission of MPEG audio
IEEE International Symposium on Circuits and Systems, Orlando, Florida, USA, 30 May 1999 - 2 June 1999
Yung, CW; Fu, HF; Tsui, Chi Ying; Cheng, Roger Shu Kwan; George, D.





Article 4

Accurate and efficient power simulation strategy by compacting the input vector set
Integration-the VLSI journal, v. 25, (1), September 1998, p. 37-52
Tsui, Chi Ying; Pedram, M.
Gate-level power estimation using tagged probabilistic simulation
IEEE transactions on computer-aided design of integrated circuits and systems, v. 17, (11), November 1998, p. 1099-1107
Ding, CS; Tsui, Chi Ying; Pedram, M.
Generic VLSI architecture for block-matching motion estimation algorithms
International journal of imaging systems and technology, v. 9, (4), 1998, p. 257-273
He, ZL; Liou, ML; Chan, PCH; Tsui, Chi Ying
Low-power state assignment targeting two- and multilevel logic implementations
IEEE transactions on computer-aided design of integrated circuits and systems, v. 17, (12), December 1998, p. 1281-1291
Tsui, Chi Ying; Pedram, M.; Despain, AM

Conference paper 3

Rate determination algorithms in IS-95 forward traffic channels
IEEE Vehicular Technology Conference, Unknown, 18 May 1998 - 21 May 1998
Ng, Sheung Chi; Cheng, Roger Shu-Kwanu; Tsui, Chi Ying; Ling, Curtis C.
Towards the Capability of Providing Power-area-delay Trade-off at the Register Transfer Level
Proceedings of the International Symposium on Low Power Design, Boston, MA, USA., 1998
Chen, Chunhong; Tsui, Chiying
VLSI design of an ATM switch with automatic fault detection
1998 International symposium on circuits and systems, Monterey, USA, 1998
Kwan, Louis Chung-Yin; Tsui, Chui Ying; Lea, Chin Tau





Conference paper 5

A Power Estimation Framework for Designing Low Power Portable Video Applications
Design Automation Conference, Anaheim, CA, USA, 1997
Tsui, Chi Ying; Chan, Kai-Keung; Wu, Qing; Ding, Chih Shun; Pedram, Massoud
Efficient and reconfigurable VLSI architecture for different block matching motion estimation algorithms
ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing, Munich, Germany, 21 April 1997 - 24 April 1997
Zhang, Xiao-Dong; Tsui, Chi-Ying
Exploring the power consumption of different motion estimation architectures for video compression
IEEE International Symposium on Circuits and Systems, Hong Kong, 9 June 1997 - 12 June 1997
Chan, Kai keung; Tsui, Chi Ying
Low Power Motion Estimation Design Using Adaptive Pixel Truncation
1997 International Symposium on Low Power Electronics and Design, Monterey, California, USA, 18 August 1997 - 20 August 1997
He, Zhong-Li; Chan, Kai-Keung; Tsui, Chi Ying; Liou, Ming L.
Low power soft output viterbi decoder scheme for Turbo Code decoding
IEEE International Symposium on Circuits and Systems, Hong Kong, 9 June 1997 - 12 June 1997
Lin, Lang; Tsui, Chi Ying; Cheng, Roger Shu Kwan





Conference paper 1

Improving the efficiency of power simulators by input vector compaction
33rd Design Automation Conference Proceedings, Las Vegas, Neveda, USA, 3 June 1996 - 7 June 1996
Tsui, Chi Ying; Marculescu, Radu; Marculescu, Diana; Pedram, Massoud





Article 1

Power estimation methods for sequential logic-circuits
IEEE TRANSACTIONS on very large scale integration (vlsi) systems, v. 3, (3), September 1995, p. 404-416
Tsui, CY; Monteiro, J.; Pedram, M.; Devadas, S.; Despain, AM; Lin, B.





Article 3

Low power state assignment targeting two- and multi-level logic implementations
IEEE transactions on computer-aided design of integrated circuits and systems, November 1994, p. 82-87
Tsui, Chi-Ying; Pedram, Massoud; Chen, Chih-Ang; Despain, Alvin M.
Power efficient technology decomposition and mapping under an extended power consumption model
IEEE transactions on computer-aided design of integrated circuits and systems, v. 13, (9), 1994, p. 1110-1122
Tsui, Chi-Ying; Pedram, Massoud; Despain, Alvin M.
Saving power in the control path of embedded processors
IEEE design & test of computers, v. 11, (4), December 1994
Su, Ching-Long; Tsui, Chi-Ying; Despain, Alvin M.

Conference paper 3

Exact and approximate methods for calculating signal and transition probabilities in FSMs
Design Automation Conference, San Diego, California, USA, 6 June 1994 - 10 June 1994
Tsui, Chi-Ying; Pedram, Massoud; Despain, Alvin M.
Exact and Approximate Methods of Switching Activity Estimation in Sequential Logic Circuits
International Workshop on Low Power Design, Napa, California, April 1994
Jose, Monteiro; Devadas, Srinivas; Lin, B.; Tsui, Chi Ying; Pedram, Massoud; Despain, Alvin
Low Power Architectural Design and Compilation Techniques for High-Performance Processor
IEEE Compcon 94, San Diego, CA, USA, February 1994
Su, Ching-Long; Tsui, Chi Ying; Despain, Alvin





Conference paper 3

Efficient Estimation of Dynamic Power Consumption under a Real Delay Model
ACM/IEEE International Conference of Computer-Aided Design, Washington, DC, 7 November 1993 - 11 November 1993
Tsui, Chi Ying; Pedram, Massoud; Despain, Alvin
Power Estimation Considering Charging and Discharging of Internal Nodes of CMOS Gates
Synthesis and Simulation Meeting and International Interchange, New York, NY, October 1993
Tsui, Chi Ying; Massoud, Pedram; Despain, Alvin
Technology decomposition and mapping targeting low power dissipation
Proceedings - Design Automation Conference, Dallas, TX, USA, 14 June 1993 through 18 June 1993
Tsui, Chi-Ying; Pendram, Massoud; Despain, Alvin M.





Conference paper 2

A Full Range Design Automation System for Instruction Set Microprocessor
The First International Conference on PROLOG Application, London, UK, April 1992
Cheng, Gino; Tsui, Chi Ying
Application-driven design automation for microprocessor design
Proceedings - Design Automation Conference, Anaheim, CA, Jun 08-12, 1992
Pyo, Iksoo; Su, Ching-Long; Huang, Ing-Jer; Pan, Kuo-Rueih; Koh, Yong-seon; Tsui, Chi-Ying; Chen, Hsu-Tsun; Cheng, Gino; Liu, Shihming; Wu, Shiqun; Despain, Alvin M.





2016 15

A Low-Latency List Successive-Cancellation Decoding Implementation for Polar Codes
IEEE Journal on Selected Areas in Communications, v. 34, (2), February 2016, article number 7339658, p. 303-317
Fan, Youzhe; Xia, Chenyang; Chen, Ji; Tsui, Chi Ying; Jin, Jie; Shen, Hui; Li, Bin Article
A Support Vector Regression (SVR)-based latency model for Network-on-Chip (NoC) architectures
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 35, (3), March 2016, article number 7229288, p. 471-484
Qian, Zhiliang; Juan, D.C.; Bogdan, P.; Tsui, Chi Ying; Marculescu, D.; Marculescu, R. Article
An Implantable Medical Device for Transcorneal Electrical Stimulation: Packaging Structure, Process Flow, and Toxicology Test
IEEE Transactions on Components, Packaging and Manufacturing Technology, v. 6, (8), Aug 2016, article number 7509610, p. 1174-1180
Le, Fuliang; Lo, Chi Chuen; Qiu, Xing; Lee, Shi-wei; Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Article
BiLink: A High Performance NoC Router Architecture Using bi-Directional Link with Double Data Rate
Integration, the VLSI Journal, v. 55, September 2016, p. 30-42
Zhu, Jingyang; Qian, Zhiliang; Tsui, Chi Ying Article
Performance Evaluation of NoC-Based Multicore Systems: From Traffic Analysis to NoC Latency Modeling
ACM Transactions on Design Automation of Electronic Systems, v. 21, (3), May 2016, article number 52
Qian, ZhiLiang; Bogdan, Paul; Tsui, Chi-ying; Marculescu, Radu Article
VLSI-SoC: Design for Reliability, Security and Low Power: 23rd IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2015, Daejeon, Korea, October 5-7, 2015, Revised Selected Papers
VLSI-SoC: Design for Reliability, Security, and Low Power: 23rd IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2015, Daejeon, Korea, October 5-7, 2015, Revised Selected Papers / Edited by Youngsoo ShinChi Ying TsuiJae-Joon KimKiyoung ChoiRicardo Reis. Switzerland: Springer, 2016. IFIP Advances in Information and Communication Technology, v. 483.
Shin, Youngsoo; Tsui, Chi Ying; Kim, Jae-Joon; Choy, Kiyoung; Reis, Ricardo Book
A 6.78MHz 6W Wireless Power Receiver with a 3-Level 1x / 1/2 x / 0x Reconfigurable Resonant Regulating Rectifier
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 59, February 2016, article number 7418064, p. 376-377
Cheng, Lin; Ki, Wing-Hung; Wong, Tatto; Yim, Taksang; Tsui, Chiying Conference paper
A Low-Power Chopper Bandpass Amplifier for Biopotential Sensors
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7527230, p. 301-304
Zheng, Jiawei; Ki, Wing Hung; Tsui, Chi Ying Conference paper
A WLAN 2.4-GHz RF Energy Harvesting System With Reconfigurable Rectifier For Wireless Sensor Network
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7539059, p. 2362-2365
Zeng, Zizhen; Li, Xing; Bermak, Amine; Tsui, Chi Ying; Ki, Wing Hung Conference paper
An Indoor Solar Energy Harvesting System Using Dual Mode SIDO Converter with Fully Digital Time-Based MPPT
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7539057, p. 2354-2357
Meng, Xiaodong; Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Hardware decoders for polar codes: An overview
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7527192, p. 149-152
Giard, Pascal; Sarkis, Gabi; Balatsoukas-Stimming, Alexios; Fan, Youzhe; Tsui, Chi Ying; Burg, Andreas Peter; Thibeault, Claude; Gross, Warren J. Conference paper
Low-Complexity List Successive-Cancellation Decoding of Polar Codes Using List Pruning
2016 IEEE Global Communications Conference, GLOBECOM 2016 - Proceedings, 2016, article number 7841969
Chen, Ji; Fan, Youzhe; Xia, Chenyang; Tsui, Chi Ying; Jin, Jie; Chen, Kai; Li, Bin Conference paper
Low-Latency Approximate Matrix Inversion for High-Throughput Linear Pre-Coders in Massive MIMO
2016 IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2016, November 2016, article number 7753561
Abbas, Syed Mohsin; Tsui, Chiying Conference paper
LRADNN: High-throughput and Energy-efficient Deep Neural Network Accelerator Using Low Rank Approximation
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 25-28-January-2016, March 2016, article number 7428074, p. 581-586
Zhu, Jingyang; Qian, Zhiliang; Tsui, Chi Ying Conference paper
Wireless body sensor network system for health monitoring
Qatar Foundation Annual Research Conference 2016, Doha, Qatar, 22-23 March 2016
Bermak, Amine; Zeng, Z.; Tsui, Chi Ying Conference paper

2015 11

A 13.56 MHz Wireless Power Transfer System With Reconfigurable Resonant Regulating Rectifier and Wireless Power Control for Implantable Medical Devices
IEEE Journal of Solid-State Circuits, v. 50, (4), April 2015, article number 7035125, p. 978-989
Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Article
FSNoC: A Flit-level Speedup Scheme for Network On-chips Using Self-reconfigurable Bidirectional Channels
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 23, (9), September 2015, article number 6902815, p. 1854-1867
Qian, Zhiliang; Abbas, Syed Mohsin; Tsui, Chiying Article
Power Management Analysis of Inductively-Powered Implants with 1X/2X Reconfigurable Rectifier
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 62, (3), March 2015, article number 6977994, p. 617-624
Li, Xing; Tsui, Chi-Ying; Ki, Wing-Hung Article
Reconfigurable Resonant Regulating Rectifier With Primary Equalization for Extended Coupling- and Loading-Range in Bio-Implant Wireless Power Transfer
IEEE Transactions on Biomedical Circuits and Systems, v. 9, (6), December 2015, p. 875-884
Li, Xing; Meng, Xiaodong; Tsui, Chi Ying; Ki, Wing Hung Article
A Fast Variable Block Size Motion Estimation Algorithm with Refined Search Range for a Two-layer Data Reuse Scheme
2015 IEEE International Symposium on Circuits and Systems (ISCAS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 1206-1209
Jia, Luheng; Tsui, Chi Ying; Au, Oscar Chi Lim; Zheng, Amin Conference paper
Efficient wireless power transmission technology based on above-CMOS integrated (ACI) high quality inductors
2014 IEEE International Electron Devices Meeting (IEDM), February 2015, article number 7047038, p. 12.4.1-12.4.4
Raju, Salahuddin; Li, Xing; Lu, Yan; Tsui, Chi Ying; Ki, Wing Hung; Chan, Mansun; Yue, Chik Patrick Conference paper
Fluxless Packaging of an Implantable Medical Device for Transcorneal Electrical Stimulation
Proceedings of the Electronic Packaging Technology Conference, EPTC, v. 2016-February, February 2016, article number 7412282
Le, Fuliang; Lo, Chi Chuen; Qiu, Xing; Lee, Shi-wei; Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Low complexity belief propagation polar code decoder
2015 IEEE Workshop on Signal Processing Systems (SiPS 2015), v. 2015-December, December 2015, article number 7344986
Abbas, Syed Mohsin; Fan, Youzhe; Chen, Ji; Tsui, Chi Ying Conference paper
Low-latency List Decoding of Polar Codes With Double Thresholding
ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing - Proceedings Volume 2, August 2015, article number 7178128, p. 1042-1046
Fan, Youzhe; Chen, Ji; Xia, Chenyang; Tsui, Chi Ying; Jin, Jie; Shen, Hui; Li, Bin Conference paper
UHF energy harvesting system using reconfigurable rectifier for wireless sensor network
2015 IEEE International Symposium on Circuits and Systems (ISCAS), July 2015, article number 7168578, p. 93-96
Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Wireless Power Transfer System Using Primary Equalizer for Coupling- and Load-Range Extension in Bio-Implant Applications
2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 228-229
Li, Xing; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper

2014 12

A Novel Single-Inductor Dual-Input Dual-Output DC-DC Converter With PWM Control for Solar Energy Harvesting System
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (8), 2014, article number 6595148, p. 1693-1704
Shao, Hui; Li, Xing; Tsui, Chi-Ying; Ki, Wing-Hung Article
An Efficient Partial-Sum Network Architecture for Semi-Parallel Polar Codes Decoder Implementation
IEEE Transactions on Signal Processing, v. 62, (12), June 2014, article number 6803952, p. 3165-3179
Fan, YouZhe; Tsui, Chi-ying Article
Cell-type-specific labeling of synapses in vivo through synaptic tagging with recombination
Neuron, v. 81, (2), January 2014, p. 280-293
Chen, Yi; Akin, Orkun; Nern, Aljoscha; Tsui, Chi Ying; Pecot, Matthew Y.; Zipursky, Stephen Lawrence Article
Sequential axon-derived signals couple target survival and layer specificity in the Drosophila visual system
Neuron, v. 82, (2), April 2014, p. 320-333
Pecot, Matthew Y.; Chen, Yi; Akin, Orkun; Chen, Zhenqing; Tsui, Chi Ying; Zipursky, Stephen Lawrence Article
A Thermal Aware Routing Algorithm for Application-Specific Network-on-Chip
Routing Algorithms in Networks-on-Chip / Editors: Maurizio Palesi, Masoud Daneshtalab. New York, N.Y. : Springer Science+Business Media, 2013, p. 277-304, 2014 Edition
Qian, Zhiliang; Tsui, Chi Ying Book chapter
A 13.56MHz Wireless Power Transfer System with Reconfigurable Resonant Regulating Rectifier and Wireless Power Control for Implantable Medical Devices
28th IEEE Symposium on VLSI Circuits Digest of Technical Papers, Institute of Electrical and Electronics Engineers (IEEE), 2014
Li, Xing; Tsui, Chi Ying; Ki, Wing Hung Conference paper
A Comprehensive and Accurate Latency Model for Network-on-Chip Performance Analysis
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2014, article number 6742910, p. 323-328
Qian, Zhiliang; Juan, Da-Cheng; Bogdan, Paul; Tsui, Chi Ying; Marculescu, Diana; Marculescu, Radu Conference paper
A fast intermode decision algorithm based on analysis of inter prediction residual
2014 IEEE 16th International Workshop on Multimedia Signal Processing (MMSP), November 2014, article number 6958827
Jia, Luheng; Au, Oscar C L; Tsui, Chi Ying; Dai, Wei; Wan, Pengfei Conference paper
An Adaptive Wireless Powering and Data Telemetry System for Optic Nerve Stimulation
2014 IEEE International Symposium on Circuits and Systems (ISCAS 2014), Institute of Electrical and Electronics Engineers (IEEE), 2014, p. 1404-1407
Li, Xing; Lu, Yan; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
An Efficient Network-on-chip (NoC) Based Multicore Platform for Hierarchical Parallel Genetic Algorithms
Proceedings - 2014 8th IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2014, January 2015, article number 7008757, p. 17-24
Xue, Yuankun; Qian, Zhiliang; Wei, Guo Peng; Bogdan, Paul; Tsui, Chi Ying; Marculescu, Radu Conference paper
Disease Diagnosis-on-a-chip: Large Scale Networks-on-chip Based Multicore Platform for Protein Folding Analysis
Proceedings of the 51st Annual Design Automation Conference, New York, NY, USA : ACM, 2014
Xue, Yuankun; Qian, Zhiliang; Bogdan, Paul; Ye, Fan; Tsui, Chi Ying Conference paper
Low-latency MAP demapper architecture for coded modulation with iterative decoding
Proceedings of IEEE International Symposium on Circuits and Systems, v. 2014, Jun 2014, article number 6865239, p. 730-733
Fan, Youzhe; Tsui, Chi Ying Conference paper

2013 5

Energy Harvesting and Power Delivery for Implantable Medical Devices
Foundations and trends in electronic design automation, v. 7, (3), August 2013, p. 179-246
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung Article
Energy Harvesting and Power Delivery for Implantable Medical Devices
Foundations and Trends? in Electronic Design Automation (Book 22) / [Authors]: Chi-Ying Tsui, Xing Li, Wing-Hung Ki. Boston - Delft : Now Publishers Inc, 2013, p. 1-82
Tsui, Chi Ying; Li, Xing; Ki, Wing Hung Book chapter
A 13.56MHz fully integrated 1X/2X active rectifier with compensated bias current for inductively powered devices
Digest of Technical Papers - 2013 60th IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 17 February 2013 - 21 February 2013
Lu, Yan; Li, Xing; Ki, Wing-Hung; Tsui, Chiying; Yue, Patrick Chik Conference paper
A Diamond Search Window based Adaptive Search Range Algorithm
Electronic Proceedings of the 2013 IEEE International Conference on Multimedia and Expo Workshops, ICMEW 2013, October 2013 , article number 6618263
Jia, Luheng; Au, Oscar Chi Lim; Tsui, Chi Ying; Shi, Yongfang; Ma, Rui; Zhang, Hong Conference paper
Performance Evaluation of Multicore Systems: From Traffic Analysis to Latency Predictions (Embedded tutorial)
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2013, article number 6691101, p. 82-84
Qian, Zhiliang; Bogdan, Paul; Tsui, Chi Ying; Marculescu, Radu Conference paper

2012 12

VLSI-SoC 2011 – The Advanced Research for Systems-on-chips
VLSI-SoC 2011 – The Advanced Research for Systems-on-chips / Salvador Mir, Chi-Ying Tsui, Ricardo Reis, Oliver C. S. Choy. Berlin: Springer, 2012
Mir, Salvador; Tsui, Chi-Ying; Reis, Ricardo; Choy, Oliver C.S. Book
A Flit-level Speedup Scheme For Network-on-Chips Using Self-Reconfigurable Bi-directional Channels
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2012, article number 6176692, p. 1295-1300
Qian, Zhiliang; Teh, Ying Fei; Tsui, Chi Ying Conference paper
A new charge pump analysis and efficiency optimization method for on-chip charge pump
2012 IEEE Faible Tension Faible Consommation, FTFC 2012, Paris, Fance, 2012
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung Conference paper
A New Multi-phase power Clocking Architecture for Adiabatic Reversible Energy Recovery Logic
International Conference on Engineering and Applied Science (ICEAS 2012), Beijing, China, July 24-27 2012, p. 265-278
Choi, Ricky Yiu Kee; Tsui, Chi-Ying Conference paper
A Novel Offset Cancellation Technique for Dynamic Comparator Latch
IEEE 55th International Midwest Symposium on Circuits and Systems, Boise, Idaho, USA, August 5-8 2012, p. 614-617
Choi, Ricky Yiu-kee; Tsui, Chi-ying Conference paper
A Traffic-aware Adaptive Routing Algorithm on a Highly Reconfigurable Network-on-Chip Architecture
10th ACM International Conference on Hardware/Software-Codesign and System Synthesis, CODES+ISSS 2012, Co-located with 8th Embedded Systems Week, ESWEEK 2012:Proceedings of the 10th ACM International Conference on Hardware/Software-Codesign and System Synthesis, Tampere, Finland, 2012, p.161-170
Qian, Zhiliang; Bogdan, Paul; Wei, Guopeng; Tsui, Chi-Ying; Marculescu, Radu Conference paper
Analysis and design strategy of on-chip charge pumps for micro-power energy harvesting applications
IFIP Advances in Information and Communication Technology, v. 379, 2012, p. 158-186
Ki, Wing-Hung; Lu, Yan; Su, Feng; Tsui, Chi-Ying Conference paper
Low-complexity Rotated QAM Demapper for the Iterative Receiver Targeting DVB-T2 Standard
2012 IEEE VEHICULAR TECHNOLOGY CONFERENCE (VTC FALL), Quebec City Canada, September 3-6 2012
Fan, YouZhe; Tsui, Chi-ying Conference paper
Pipelined architecture of low energy SAR ADC
International Conference on Electrical Engineering and Computer Science (EECS 2012), Shanghai, China, August 17-20 2012, p. 198-203
Choi, Ricky Yiu Kee; Tsui, Chi-Ying Conference paper
Rate and Power Allocation for 2-Level Superposition Coded Modulation Supporting Both Unicast and Multicast Traffic
8th IEEE International Conference on Wireless Communications, Networking and Mobile Computing, Shanghai, China, September 21-23 2012
Fan, YouZhe; Tsui, Chi-ying Conference paper
Solar energy harvesting system design using re-configurable charge pump
2012 IEEE Faible Tension Faible Consommation ,Paris, France, 2012, June, p. 1-4, Article number 6231747
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung Conference paper
SVR-NoC: A performance analysis tool for Network-on-Chips using learning-based support vector regression model
Proceedings -Design, Automation and Test in Europe, v. 2013, 2013, article number 6513529, p. 354-357
Qian, Zhiliang; Juan, Da-Cheng; Bogdan, Paul; Tsui, Chi Ying; Marculescu, Diana; Marculescu, Radu Conference paper

2011 10

Low energy multi-stage level converter for sub-threshold logic
IET Computers and Digital Techniques, v. 5, (5), September 2011, p. 375-385
Shao, Hui; Li, Xing; Tsui, Chi-Ying Article
Vibration Energy Scavenging System With Maximum Power Tracking for Micropower Applications
IEEE transactions on very large scale integration (VLSI) systems, v. 19, (11), November 2011, p. 2109-2119
Lu, Chao; Tsui, Chi-Ying; Ki, Wing-Hung Article
A fault-tolerant network-on-chip design using dynamic reconfiguration of partial-faulty routing resources
2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, VLSI-SoC 2011, Hong Kong, 2011, p.192-195
Qian, Zhiliang; Teh, Ying-Fei; Tsui, Chi Ying Conference paper
A fault-tolerant NoC using combined link sharing and partial fault link utilization scheme
2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, VLSI-SoC 2011, Hong Kong, 2011, p. 296-301
Teh, Ying-Fei; Qian, Zhiliang; Tsui, Chi Ying Conference paper
A low-complexity image compression algorithm for Address-Event Representation (AER) PWM image sensors
Proceedings - IEEE International Symposium on Circuits and Systems, 2011, p. 2825-2828
Chen, D.G.; Bermak, Amine; Tsui, C.Y. Conference paper
A Thermal-aware Application Specific Routing Algorithm for Network-on-Chip Design
IEEE/ACM 2011 16th Asia and South Pacific Design Automation Conference (ASP-DAC), 2011, Yokohama, Japan, 2011, Article number 5722232, p. 449-454
Qian, Zhiliang; Tsui, Chi-Ying Conference paper
An adaptive multi-stage rectifier for RF energy harvesting applications
VLSI SoC, Hong Kong, 2011
Li, Xing; Tsui, Chi-Ying; Ki, Wing Hung Conference paper
Design and analysis of on-chip charge pumps for micro-power energy harvesting applications
2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, VLSI-SoC 2011, 2011, p. 374-379
Ki, Wing-Hung; Lu, Yan; Su, Feng; Tsui, Chi-Ying Conference paper
Efficient iterative receiver for LDPC coded wireless IPTV system
Proceedings - International Conference on Image Processing(ICIP), Brussels, 2011, p. 953-956
Fan, Youzhe; She, James; Tsui, Chi Ying Conference paper
Thermal modeling of three-dimensional integrated circuits considering the thermal removal capability of different TSVs
IEEE 2011 3rd Asia Symposium on Quality Electronic Design (ASQED), Malysia, 2011, p. 1-7
Ding, Hua; Wang, Wei; Qian, Zhiliang; Tsui, Chi Ying; Lai, Liangzhen Conference paper

2010 8

A system-on-chip EPC Gen-2 passive UHF RFID tag with embedded temperature sensor
IEEE Journal of Solid-State Circuits, v. 45, (11), 2010, p. 2404-2420
Yin, Jun; Yi, Jun; Law, Man Kay; Ling, Yunxiao; Lee, Man Chiu; Ng, Kwok Ping; Gao, Bo; Luong, Howard; Bermak, Amine; Chan, Man Sun; Ki, Wing-Hung; Tsui, Chiying; Yuen,Matthew Ming Fai Article
An Energy Efficient Layered Decoding Architecture for LDPC Decoder
IEEE TRANSACTIONS on very LARGE SCALE INTEGRATION (vlsi) SYSTEMS, v. 18, (8), 2010, AUG, p. 1185-1195
Jin, Jie; Tsui, Chi-ying Article
Joint Routing and Sleep Scheduling for Lifetime Maximization of Wireless Sensor Networks
IEEE transactions on wireless communications, v. 9, (7), 2010, JUL, p. 2258-2267
Liu, Feng; Tsui, Chi-Ying; Zhang, Ying Jun (Angela) Article
A single inductor DIDO DC-DC converter for solar energy harvesting applications using band-band control
Proceedings of the 2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC 2010, 2010, p. 167-172
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
A system-on-chip EPC Gen-2 passive UHF RFID tag with embedded temperature sensor
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 53, 2010, p. 308-309
Yin, Jun; Yi, Jun; Law, Man Kay; Ling, Yunxiao; Lee, Man Chiu; Ng, Kwok Ping; Gao, Bo; Luong, Howard C.; Bermak, Amine; Chan, Man Sun; Ki, Wing-Hung; Tsui, Chi-Ying; Yuen, Matthew Ming-Fai Conference paper
Analysis of the heat removal capability of the power distribution network in 3D ICs
International Microsystems Packaging Assembly and Circuits Technology Conference, IMPACT 2010 and International 3D IC Conference, Proceedings, 2010
Lai, L.; Ding, H.; Tsui, C.Y. Conference paper
Maximizing the Harvested Energy for Micro-power Applications through Efficient MPPT and PMU Design
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2010, p. 75-80
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
System Level Power Optimizations for EPC RFID Tags to Improve Sensitivity Using Load Power Shaping and Operation Scheduling
IEEE International Symposium on Circuits and Systems, Paris, May 30- June 2, pp 3012-3015
Ling, Yunxiao; Yi, Jun; Tsui, Chi Ying; Ki, Wing Hung Conference paper

2009 9

Regulated Switched-Capacitor Doubler With Interleaving Control for Continuous Output Regulation
IEEE journal of solid-state circuits, v. 44, (4), 2009, APR, p. 1112-1120
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Article
The Design of a Micro Power Management System for Applications Using Photovoltaic Cells With the Maximum Output Power Control
IEEE TRANSACTIONS on very LARGE SCALE INTEGRATION (vlsi) SYSTEMS, v. 17, (8), 2009, AUG, p. 1138-1142
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Article
A Hybrid Algorithm and its Re-configurable Architecture for MIMO Detector
52nd IEEE Mid-west Symposium on Circuits and Systems, Cancun, Mexico, 2-5 Aug 2009, p. 297-300
Luo, Dan; Tsui, Chi Ying Conference paper
A low energy two-step successive approximation algorithm for ADC design
Proceedings - IEEE International Symposium on Circuits and Systems, 2009, p. 17-20
Choi, R.Y.K.; Tsui, C.Y. Conference paper
A single inductor dual input dual output DC-DC converter with hybrid supplies for solar energy harvesting applications
Proceedings of the International Symposium on Low Power Electronics and Design, 2009, p. 69-74
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
An inductor-less MPPT design for light energy harvesting systems
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2009, p. 101-102
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
Dual-Power-path RF-DC Multi-Output Power Management Unit for RFID Tags
Proceedings of IEEE Symposium on VLSI Circuits, Kyoto, Japan, 16-18 June 2009, p. 200-201
Yi, Jun; Ki, Wing-Hung; Mok, Philip; Tsui, Chi-Ying Conference paper
Improving the hardware utilization efficiency of partially parallel LDPC decoder with scheduling and sub-matrix decomposition
Proceedings - IEEE International Symposium on Circuits and Systems, 2009, p. 2233-2236
Jin, J.; Tsui, C.Y. Conference paper
Low Energy Level Converter Design for Sub-V(th) Logics
PROCEEDINGS OF THE ASP-DAC 2009: ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 2009, 2009, p. 107-108
Shao, Hui; Tsui, Chi-Ying Conference paper

2008 12

A single-chip UHF RFID reader in 0.18 mu m CMOS process
IEEE journal of solid-state circuits, v. 43, (8), 2008, AUG, p. 1741-1754
Wang, Wenting; Lou, Shuzuo; Chui, Kay W.C.; Rong, Sujiang; Lok, Chi Fung; Zheng, Hui; Chan, Hin-Tat; Man, Sau-Wing; Luong, Howard Cam; Lau, Vincent Kin Nang; Tsui, Chi-Ying Article
IPqML:基于QIP标准的IP质量评测辅助系统
计算机辅助设计与图形学学报=Journal of Compute-Aided Design and Graphics, v. 2008, (12), 2008, p. 1563-1568
周萌; 崔志英; 高明倫 Article
Minimizing the dynamic and sub-threshold leakage power consumption using least leakage vector-assisted technology mapping
Integration-the VLSI journal, v. 41, (1), 2008, JAN, p. 76-86
Tsui, Chi-ying; Au, Robert Yi-Ching; Choi, Ricky Yiu-Kee Article
Ultra fast fixed-frequency hysteretic buck converter with maximum charging current control and adaptive delay compensation for DVS applications
IEEE journal of solid-state circuits, v. 43, (4), 2008, APR, p. 815-822
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Article
A low energy two-step successive approximation algorithm for ADC design
ISQED 2008: PROCEEDINGS OF THE NINTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN, 2008, p. 317-320
Choi, Ricky Yiu-kee; Tsui, Chi-ying Conference paper
A low power layered decoding architecture for LDPC decoder implementation for IEEE 802.11n LDPC codes
Proceedings of the International Symposium on Low Power Electronics and Design, 2008, p. 253-258
Jin, J.; Tsui, C.Y. Conference paper
A single-chip UHF RFID reader in 0.18-μm CMOS
Proceedings of the Custom Integrated Circuits Conference, 2008, p. 111-114
Wang, Wenting; Lou, Shuzuo; Chui, Kay W.C.; Rong, Sujiang; Lok, Chi Fung; Zheng, Hui; Chan, Hin Tat; Man, Sauwing; Luong, Howard Cam; Lau, Vincent Kin Nang; Tsui, Chi Ying Conference paper
An energy-adaptive MPPT power management unit for micro-power vibration energy harvesting
IEEE International Symposium on Circuits & Systems (ISCAS), 2008, p. 2570-2573
Yi, Jun; Su, Feng; Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
An SC voltage regulator with novel area-efficient continuous output regulation by dual-branch interleaving control scheme
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2008, p. 136-137
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
An SC voltage regulator with novel area-efficient continuous output regulation by dual-branch interleaving control scheme
2008 IEEE SYMPOSIUM ON VLSI CIRCUITS, 2008, p. 109-110
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Integrated single-inductor dual-input dual-output boost converter for energy harvesting applications
IEEE International Symposium on Circuits & Systems (ISCAS), 2008, p. 2218-2221
Sze, Ngok-Man; Su, Feng; Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Threshold voltage start-up boost converter for sub-mA applications
DELTA 2008: FOURTH IEEE INTERNATIONAL SYMPOSIUM ON ELECTRONIC DESIGN, TEST AND APPLICATIONS, PROCEEDINGS, 2008, p. 338-341
Sze, Ngok-Man; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper

2007 17

A mux-based high-performance single-cycle CMOS comparator
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 54, (7), 2007, JUL, p. 591-595
Lam, Hing-Mo; Tsui, Chi-Ying Article
Analysis and Design Strategy of UHF Micro-Power CMOS Rectifiers for Micro-Sensor and RFID Applications
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 54, (1), January 2007, p. 153-166
Yi, Jun; Ki, Wing-Hung; Tsui, Chi-Ying Article
Low-power limited-search parallel state Viterbi decoder implementation based on scarce state transition
IEEE TRANSACTIONS on very LARGE SCALE INTEGRATION (vlsi) SYSTEMS, v. 15, (10), 2007, OCT, p. 1172-1176
Jin, Jie; Tsui, Chi-Ying Article
A batteryless vibration-based energy harvesting system for ultra low power ubiquitous applications
Proceedings - IEEE International Symposium on Circuits and Systems, 2007, p. 1349-1352
Chao, Lu; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
A Micro Power Management System and Maximum Output Power Control for Solar Energy Harvesting Applications
ISLPED'07: PROCEEDINGS OF THE 2007 INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN, 2007, p. 298-303
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
A mixed-signal architecture of channel select filtering with oversampled ADC for multi-standard RFID reader receiver
2007 IEEE International Conference on RFID, IEEE RFID 2007, 2007, article number 4143518, p. 108-114
Chan, Hin-Tat; Wang, Wenting; Lok, Chi Fung; Lau, Vincent K.; Tsui, Chi-Ying; Luong, Howard C. Conference paper
A mixed-signal architecture of channel select filtering with oversampled ADC for multi-standard RFID reader receiver
2007 IEEE International Conference on RFID, 2007, p. 87-93
Chan, Hin-Tat; Wang, Wenting; Lok, Chi Fung; Lau, Vincent Kin Nang; Tsui, Chi-Ying; Luong, Howard C. Conference paper
A robust, input voltage adaptive and low energy consumption level converter for sub-threshold logic
ESSCIRC 2007 - Proceedings of the 33rd European Solid-State Circuits Conference, 2007, p. 312-315
Shao, H.; Tsui, C.Y. Conference paper
A Scalable Frame-Level Pipelined Architecture for FSBM Motion Estimation
IEEE International Conference on VLSI Design,Bangalore, India, Jan. 2007,pp. 830-835
He, Wei feng; Zhao, M.L.; Tsui, Chi Ying; Mao, Zhi Gan Conference paper
An inductor-less micro solar power management system design for energy harvesting applications
IEEE International Symposium on Circuits & Systems (ISCAS), 2007, p. 1353-1356
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
An ultra fast fixed frequency buck converter with maximum charging current control and adaptive delay compensation for DVS applications
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2007, p. 28-29
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Design and implementation of a low-power baseband-system for RFID tag
Proceedings - IEEE International Symposium on Circuits and Systems, 2007, p. 1585-1588
Man, Adam S. W.; Zhang, Edward S.; Chan, Hin Tat; Lau, Vincent Kin Nang; Tsui, Chi Ying; Luong, Howard Cam Conference paper
Energy-aware synthesis of networks-on-chip implemented with voltage islands
DAC, 2007, p. 128-131
Leung, Lap-Fai; Tsui, Chi-Ying Conference paper
Low power VLSI design for a RFID passive tag baseband system enhanced with an AES cryptography engine
2007 1st Annual RFID Eurasia, 2007
Man, Siu Wan; Zhang, Edward S.; Lau, Vincent Kin Nang; Tsui, Chi Ying; Luong, Howard Cam Conference paper
Low power VLSI design for a RFID passive tag baseband system enhanced with an AES cryptography engine
PROCEEDINGS OF THE 1ST RFID EURASIA CONFERENCE, 2007, p. 58-63
Man, Adam S.W.; Zhan-, Edward S.; Lau, Vincent Kin Nang; Tsui, Chi-Ying; Luono, Howard C. Conference paper
Single-Chip UHF RFID reader in 0.18- μm CMOS
Proceedings of the IEEE 2007 Custom Integrated Circuits Conference, CICC 2007, 2007, article number 4405693, p. 111-114
Wang, Wenting; Lou, Shuzuo; Chui, Kay W.C.; Rong, Sujiang; Lok, Chi Fung; Zheng, Hui; Chan, Hin Tat; Man, Sauwing; Luong, Howard Cam; Lau, Vincent Kin Nang; Tsui, Chi Ying Conference paper
Vibration Energy Scavenging and Management for Ultra Low Power Applications
ISLPED'07: PROCEEDINGS OF THE 2007 INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN, 2007, p. 316-321
Chao, Lu; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper

2006 16

HIGH PERFORMANCE LOW POWER COMPLETION DETECTION CIRCUIT
IEE Electronic Letters, vol. 42, No. 2, pp. 75-77, Jan., 2006
Lam, Hing Mo Jeff; Tsui, Chi Ying Article
High-performance single clock cycle CMOS comparator
Electronics letters, v. 42, (2), 2006, JAN 19, p. 75-77
Lam, HM; Tsui, CY Article
Integrated low-loss CMOS active rectifier for wirelessly powered devices
IEEE TRANSACTIONS on Circuits and SYSTEMS ii-express Briefs, v. 53, (12), 2006, DEC, p. 1378-1382
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Article
Modelling of multilayer on-chip transformers
IEE proceedings. Microwaves, antennas and propagation, v. 153, (5), 2006, p. 483-486
Tsui, C.; Tong, K.Y. Article
A low power Viterbi decoder implementation using Scarce State Transition and path pruning scheme for high throughput wireless applications
ISLPED '06: Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, p. 406-411
Jin, Jie; Tsui, Chi-Ying Conference paper
A mixed-signal architecture of channel select filtering with oversampled ADC for multi-standard RFID reader receiver
2006 International Symposium on Industrial Embedded Systems, 2006, p. 108-114
Chan, Hin-Tat; Wang, Wenting; Lok, Chi Fung; Lau, Vincent K.; Tsui, Chi-Ying; Luong, Howard C. Conference paper
A novel charge based computation system and control strategy for energy harvesting applications
Proceedings - IEEE International Symposium on Circuits and Systems, 2006, p. 2933-2936
Shao, Hui; Tsui, Chi-Ying; Ki, Wing-Hung Conference paper
Adaptively-biased capacitor-less CMOS low dropout regulator with direct current feedback
ASP-DAC 2006: 11TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, PROCEEDINGS, 2006, p. 104-105
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
An integrated 1.8V to 3.3V regulated voltage doubler using active diodes and dual-loop voltage follower for switch-capacitive load
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2006, p. 85-86
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Energy-aware optimal workload allocation among the battery-powered devices to maximize the co-operation life time
IEEE International Symposium on Circuits & Systems (ISCAS), 2006, p. 5299-5302
Liu, Feng; Tsui, Chi-Ying Conference paper
High efficiency cross-coupled doubler with no reversion loss
IEEE International Symposium on Circuits & Systems (ISCAS), 2006, p. 2761-2764
Su, Feng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
High performance single clock cycle CMOS comparator
IEEE International Symposium on Circuits & Systems (ISCAS), 2006, p. 779-782
Lam, Hing-mo; Tsui, Chi-ying Conference paper
Integrated direct output current control switching converter using symmetrically-matched self-biased current sensors
ASP-DAC 2006: 11TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, PROCEEDINGS, 2006, p. 102-103
Lam, Yat-Hei; Koon, Suet-Chui; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Low complexity SST Viterbi decoder
IEEE Vehicular Technology Conference, 2006, p. 1379-1380
Jie, J.; Tsui, C.Y. Conference paper
Optimal link scheduling on improving best-effort and guaranteed services performance in network-on-chip systems
DAC, 2006, p. 833-838
Leung, Lap-Fai; Tsui, Chi-Ying Conference paper
Ultra-low voltage power management circuit and computation methodology for energy harvesting applications
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2006, 2006, p. 96-97
Tsui, Chi-Ying; Shao, Hui; Ki, Wing-Hung; Su, Feng Conference paper

2005 8

A physical analytical model of multilayer on-chip inductors
IEEE transactions on microwave theory and techniques, v. 53, (4 I), 2005, p. 1143-1148
Tong, K.Y.; Tsui, C. Article
A Programmable Integrated Digital Controller for Switching Converters with Dual-band Switching and Complex Pole-zero Compensation
IEEE Journal of Solid-state Circuits, v. 40, (3), 2005, MAR, p. 772-780
Chui, MYK; Ki, Wing Hung; Tsui, Chi Ying Article
A data discarding framework for reducing the energy consumption of Viterbi decoder in decoding broadcasted wireless multi-resolution JPEG2000 images
PROCEEDINGS OF THE 2005 3RD WORKSHOP ON EMBEDDED SYSTEMS FOR REAL-TIME MULTIMEDIA, 2005, p. 21-26
Liu, F.; Tsui, CY Conference paper
A threshold-based algorithm and VLSI architecture of a K-best lattice decoder for MIMO systems
2005 IEEE International Symposium on Circuits and Systems (ISCAS), v. 1-6, 2005, p. 3359-3362
Jie, Jin; Tsui, Chi-Ying; Mow, Wai Ho Conference paper
Charge redistribution loss consideration in optimal charge pump design
IEEE International Symposium on Circuits & Systems (ISCAS), 2005, p. 1895-1898
Ki, WH; Su, F.; Tsui, CY Conference paper
Exploiting dynamic workload variation in low energy preemptive task scheduling
Proceedings - Design, Automation, and Test in Europe Conference and Exhibition, 2005, p. 634-639
Leung, LF; Tsui, CY; Hu, XBS Conference paper
Gate control strategies for high efficiency charge pumps
IEEE International Symposium on Circuits & Systems (ISCAS), 2005, p. 1907-1910
Su, Feng; Ki, Wing Hung; Tsui, Chi Ying Conference paper
Ultra-low voltage power management and computation methodology for energy harvesting applications
2005 Symposium on VLSI Circuits, Digest of Technical Papers, 2005, p. 316-319
Tsui, Chi Ying; Shao, Hui; Ki, Wing Hung; Su, Feng Conference paper

2004 13

An Integrated One-cycle Control Buck Converter with Adaptive Output and Dual Loops for Output Error Correction
IEEE journal of solid-state circuits, v. 39, (1), 2004, JAN, p. 140-149
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying Article
Exploiting dynamic workload variation in offline low energy voltage scheduling
Lecture Notes in Computer Science, v. 3254, 2004, p. 553-563
Leung, LF; Tsui, CY; Hu, XS Article
A dual-band switching digital controller for a buck converter
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, p. 561-562
Chui, M.Y.K.; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
Adaptive spectrum-based variable bit truncation of discrete cosine transform (DCT) for energy-efficient wireless multimedia communication
PROCEEDINGS OF THE 2004 2ND WORKSHOP ON EMBEDDED SYSTEMS FOR REAL-TIME MULTIMEDIA, 2004, p. 81-86
Liu, F.; Tsui, CY Conference paper
Dynamic reconfigurable bus encoding scheme for reducing the energy consumption of deep sub-micron instruction bus
2004 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 2, PROCEEDINGS, 2004, p. 321-324
Wong, SK; Tsui, CY Conference paper
Fast adaptive DC-DC conversion using dual-loop one-cycle control in standard digital CMOS process
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004, p. 539-540
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying Conference paper
Integrated 0.9V charge-control switching converter with self-biased current sensor
Midwest Symposium on Circuits and Systems, v. 2, 2004, p.305-308
Lam, Yat-Hei; Ki, Wing-Hung; Tsui, Chi-Ying; Ma, Dongsheng Conference paper
Least leakage vector assisted technology mapping for total power optimization
2004 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 5, PROCEEDINGS, 2004, p. 145-148
Au, YC; Tsui, CY Conference paper
Minimizing energy consumption of hard real-time systems with simultaneous tasks scheduling and voltage assignment using statistical data
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004, p. 663-665
Leung, Lay F.; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Minimizing Energy Consumption of Multiple-Processors-Core Systems with Simultaneous Tasks Allocation, Scheduling and Voltage Assignment
ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004, p. 647-652
Leung, Lap F.; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Power Control of CDMA Systems With Successive Interference Cancellation Using the Knowledge of Battery Power Capacity
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, p. 125-130
Wang, Yan; Tsui, Chi Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho Conference paper
Re-configurable bus encoding scheme for reducing power consumption of the cross coupling capacitance for deep sub-micron instruction bus
DESIGN, AUTOMATION AND TEST IN EUROPE CONFERENCE AND EXHIBITION, VOLS 1 AND 2, PROCEEDINGS, 2004, p. 130-135
Wong, SK; Tsui, CY Conference paper
Scalable scheduling architectures for high-performance crossbar-based switches
IEEE Workshop on High Performance Switching and Routing, HPSR, 2004, p. 104-110
Liu, J.; Hamdi, Mounir; Hu, Q.; Tsui, Chi Ying Conference paper

2003 9

A gate duplication technique for timing optimization
Canadian Journal of Electrical and Computer Engineering, v. 28, (1), January 2003, p. 37-40
Chen, Chunhong; Tsui, Chi Ying; Ahmadi, Majid Article
A pseudo-CCM/DCM SIMO switching converter with freewheel switching
IEEE journal of solid-state circuits, v. 38, (6), 2003, JUN, p. 1007-1014
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying Article
Single-inductor multiple-output switching converters with time-multiplexing control in discontinuous conduction mode
IEEE journal of solid-state circuits, v. 38, (1), January 2003, p. 89-100
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying; Mok, Philip Kwok Tai Article
An integrated digital controller for DC-DC switching converter with dual-band switching
2003 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS, 2003, p. 45-48
Chui, Yeung Kei; Ki, Wing Hung; Tsui, Chi Ying Conference paper
Design and implementation of high-speed arbiter for large scale VOQ crossbar switches
IEEE international solid-state circuits conference, San Francisco, USA, 2003
Hung, CK; Hamdi, Mounir; Tsui, Chi Ying Conference paper
High performance and low power completion detection circuit
IEEE international symposium on circuits and systems, Unknown, 2003
Lam, HM; Tsui, Chi Ying Conference paper
Simultaneous task allocation, scheduling and voltage assignment for multiple-processors-core systems using mixed integer nonlinear programming
IEEE international symposium on circuits and systems location, Unknown, 2003
Leung, Lap Fai; Tsui, Chi Ying; Ki, Wing Hung Conference paper
Single-inductor dual-input dual-output switching converter for integrated battery charging and power regulation
IEEE international symposium on circuits and systems location, Unknown, 2003
Lam, Yat Hei; Ki, Wing Hung; Tsui, Chi Ying; Mok, Philip Kwok Tai Conference paper
Threshold Channel Estimation for OFDM in Wireless Systems
IEEE Vehicular Technology Conference, v. 57, (3), 2003, p. 1586-1589
Wang, Yan; Tsui, Chi Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho Conference paper

2002 10

A 2Gb/s 256{*}256 CMOS crossbar switch fabric core design using pipelined MUX
2002 IEEE International Symposium on Circuits and systems, Scottsdale, Arizona, USA, 2002
Wu, T.; Tsui, CY; Hamdi, Mounir Conference paper
A Fast Response Adaptive DC-DC Switching Converter using On-chip Dual-Loop One-cycle control
IEEE European Solid-State Circuit Conference, Firenze, Italy, September 2002
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying Conference paper
A pseudo-CCM / DCM SIMO switching converter with freewheel switching
IEEE International Solid-State Circuits Conference, San Francisco, USA, 2002
Ma, Dongsheng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
A pseudo-CCM/DCM SIMO switching converter with freewheel switching
IEEE International Solid-State Circuits Conference, San Francisco, USA, 2002
Ma, Dongsheng; Ki, Wing-Hung; Tsui, Chi-Ying Conference paper
A VLSI Architecture of a K-Best Lattice Decoding Algorithm for MIMO Channels
Proceedings - IEEE International Symposium on Circuits and Systems, v. 3, 2002, p. 273-276
Wong, Kwan Wai; Tsui, Chi Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho Conference paper
Bi-directional integrated charge pumps
2002 IEEE International Symposium on circuits and systems, Scottsdale, Arizona, USA, 2002
Chan, Chit Sang; Ki, Wing Hung; Tsui, Chi Ying Conference paper
CMOS Crossbar S
14th Hot chip Symposium, Unknown, August 2002
Wu, Ting; Tsui, Chi Ying; Hamdi, Mounir Conference paper
Low complexity OFDM receiver using Log-FFT for coded OFDM system
2002 IEEE International Symposium on Circuits and Systems, v. 3, 2002, p. 445-448
Wang, Yan; Lam, Hing Mo; Tsui, Chi-Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho Conference paper
Performance study of OFDM receiver using FFT based on Log number system
Vehicular Technology Conference. IEEE 55th Vehicular Technology Conference. VTC, 2002, p. 1257-1259
Wang, Yan; Tsui, Chi Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho Conference paper
Stable round-robin scheduling algorithms for high-performance input queued switches
Proceedings Hot Interconnects 10: 10th Symposium on High Performance Interconnects / IEEE Computer Society. , 2002, p. 43-51
Liu, Jing; Kit, Hung Chun; Hamdi, Mounir; Tsui, Chi Ying Conference paper

2001 6

Maximum Likelihood Lattice Decoding for MIMO Channels
IEICE Trans. Communications, 2001, p. E84-B(10)
Wong, Kwan Wai; Tsui, Chi Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho Article
Reducing Power Consumption of Turbo-Code Decoder Using Adaptive Iteration With Variable Supply Voltage
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 9, (1), February 2001, p. 34-41
Leung, Oliver Yuk Hang; Tsui, Chi Ying; Cheng, Roger Shu Kwan Article
A 1.8V single-inductor dual-output switching converter for power reduction techniques
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, Unknown, 2001
Ma, Dongsheng; Ki, Wing-Hung; Tsui, Chi-Ying; Mok, Philip Kwok Tai Conference paper
A single-inductor dual-output integrated DC/DC boost converter for variable voltage scheduling
THE ASP-DAC 2000: Asia and South Pacific design automation Conference, Taiwan, 2001
Ma, Dongsheng; Ki, Wing Hung; Tsui, Chi Ying; Mok, Philip Kwok Tai Conference paper
Reduced-Complexity Maximum Likelihood Lattice Decoder for MIMO Channels
2001 IEICE Asian Pacific Communication Conference, Tokyo, Japan, 2001
Wong, Kwan Wai; Tsui, Chi Ying; Cheng, Roger Shu Kwan; Mow, Wai Ho Conference paper
Single-inductor multiple-output switching converters with bipolar outputs
IEEE International Symposium on Circuits and Systems, Unknown, 2001
Ma, Dongsheng; Ki, Wing-Hung; Mok, Philip Kwok Tai; Tsui, Chi-Ying Conference paper

2000 11

Low Power Rake Receiver and Viterbi Decoder Design for CDMA Applications
Wireless Personal Communications, v. 14, (1), July 2000, p. 49-64
Tsui, Chi Ying; Cheng, Roger Shu Kwan; Ling, Curtis Article
Low-power VLSI design for motion estimation using adaptive pixel truncation
IEEE transactions on circuits and systems for video technology, v. 10, (5), August 2000, p. 669-678
He, ZL; Tsui, Chi Ying; Chan, KK; Liou, ML Article
A low complexity architecture of the V-BLAST system
2000 IEEE Wireless Communications and Networking Conference, Unknown, 2000
Wai, Wong Kwan; Tsui, Chi Ying; Cheng, Roger Shu Kwan Conference paper
A low power VLSI architecture of SOVA-based Turbo-code decoder using scarce state transition scheme
Proceedings of IEEE International Symposium on Circuits and Systems(ISCAS), v. 1, May 2000, p. 1-283-1-286
Wang, Yan; Tsui, Chin Ying; Cheng, Roger Shu Kwan Conference paper
A reduced complexity implementation of the Log-Map algorithm for Turbo-codes decoding
ICASSP, IEEE International Conference on Acoustics, Speech and Signal, Phoenix, Arizona, USA, 5 June 2000 - 9 June 2000
Wang, Yan; Tsui, Chi Ying; Cheng, Roger Shu Kwan Conference paper
Composite interference cancellation scheme for CDMA systems
IEEE Global Telecommunications Conference, Unknown, 2000
Poon, Billy Chi-Kin; Tsui, Chi-Ying; Cheng, Roger Shu Kwan Conference paper
Low complexity VLSI implementation of a Joint Successive Interference Cancellation with Interleaving scheme
IEEE International Symposium on Circuits and Systems, Geneva, 28 May 2000 - 31 May 2000
Wong, Bob Ka Man; Tsui, Chi Ying; Cheng, Roger Shu Kwan Conference paper
Low power 2-D array VLSI architecture for block matching motion estimation using computation suspension
IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation, Unknown, 2000
Lam, Kin-Hung; Tsui, Chi-Ying Conference paper
Low power controller optimization based on data path pattern extraction
IEEE International ASIC Conference and Exhibit, USA, 2000
Zou, Pei-Qing; Tsui, Chi-Ying Conference paper
VLSI implementation of a switch fabric for mixed ATM and IP traffic
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2000, January 2005, p. 5-6
Tsui, Chi Ying; Kwan, Chung Yin Louis; Lea, Chin-Tau Conference paper
VLSI Implementation of Rake Receiver for IS-95 CDMA Testbed Using FPGA
Asia and South Pacific Design Automation Conference, Yokohama, Japan, 25 - 28 January 2000
Leung, Oliver; Tsui, Chi Ying; Cheng, Roger S.K. Conference paper

1999 8

A/I net: A network that integrates ATM and IP
IEEE network, v. 13, (1), January 1999, p. 48-55
Lea, Chin Tau; Tsui, Chi Ying; Li, Bo; Kwan, LCY; Chan, SKM; Chan, AHW Article
A real-time sub-carrier allocation scheme for multiple access downlink OFDM transmission
IEEE Vehicular Technology Conference, Houston, Texas, USA, 19 September 1999 - 22 September 1999
Wong, Cheongyui; Tsui, Chi-Ying; Cheng, Roger S.K.; Ben letaief, Khaled Conference paper
Adaptive tracking of optimal bit and power allocation for OFDM systems in time-varying channels
1999 IEEE Wireless Communications and Networking Conference, New Orleans, LA, USA, 21-24 September 1999
Lai, Saikit; Cheng, Roger S.K.; Ben letaief, Khaled; Tsui, Chi Ying Conference paper
Adaptive tracking of optimal bit and power allocation for OFDM systems in time-varying channels
1999 IEEE Wireless Communications and Networking Conference, v. 2, 1999, p. 776-780
Lai, Saikit; Cheng, Roger S.K.; Ben letaief, Khaled; Tsui, Chi Ying Conference paper
Finite state machine partitioning for low power
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Hoes Lane, Piscataway, NJ, 1999
Lee, WK; Tsui, Chi Ying Conference paper
Low power ACS unit design for the Viterbi Decoder
IEEE International Symposium on Circuits and Systems, Orlando, Florida, USA, 30 May 1999 - 2 June 1999
Tsui, Chi Ying; Cheng, Roger Shu Kwan; Ling, C. Conference paper
Reducing Power Consumption of Turbo Code Decoder Using Adaptive Iteration With Variable Supply Voltage
Proceedings of the International Symposium on Low Power Electronics and Design, Digest of Technical Papers, 1999, p. 36-41
Leung, Oliver Yuk-Hang; Yue, Chung Wai; Tsui, Chi Ying; Cheng, Roger Shu Kwan Conference paper
Unequal error protection for wireless transmission of MPEG audio
IEEE International Symposium on Circuits and Systems, Orlando, Florida, USA, 30 May 1999 - 2 June 1999
Yung, CW; Fu, HF; Tsui, Chi Ying; Cheng, Roger Shu Kwan; George, D. Conference paper

1998 7

Accurate and efficient power simulation strategy by compacting the input vector set
Integration-the VLSI journal, v. 25, (1), September 1998, p. 37-52
Tsui, Chi Ying; Pedram, M. Article
Gate-level power estimation using tagged probabilistic simulation
IEEE transactions on computer-aided design of integrated circuits and systems, v. 17, (11), November 1998, p. 1099-1107
Ding, CS; Tsui, Chi Ying; Pedram, M. Article
Generic VLSI architecture for block-matching motion estimation algorithms
International journal of imaging systems and technology, v. 9, (4), 1998, p. 257-273
He, ZL; Liou, ML; Chan, PCH; Tsui, Chi Ying Article
Low-power state assignment targeting two- and multilevel logic implementations
IEEE transactions on computer-aided design of integrated circuits and systems, v. 17, (12), December 1998, p. 1281-1291
Tsui, Chi Ying; Pedram, M.; Despain, AM Article
Rate determination algorithms in IS-95 forward traffic channels
IEEE Vehicular Technology Conference, Unknown, 18 May 1998 - 21 May 1998
Ng, Sheung Chi; Cheng, Roger Shu-Kwanu; Tsui, Chi Ying; Ling, Curtis C. Conference paper
Towards the Capability of Providing Power-area-delay Trade-off at the Register Transfer Level
Proceedings of the International Symposium on Low Power Design, Boston, MA, USA., 1998
Chen, Chunhong; Tsui, Chiying Conference paper
VLSI design of an ATM switch with automatic fault detection
1998 International symposium on circuits and systems, Monterey, USA, 1998
Kwan, Louis Chung-Yin; Tsui, Chui Ying; Lea, Chin Tau Conference paper

1997 5

A Power Estimation Framework for Designing Low Power Portable Video Applications
Design Automation Conference, Anaheim, CA, USA, 1997
Tsui, Chi Ying; Chan, Kai-Keung; Wu, Qing; Ding, Chih Shun; Pedram, Massoud Conference paper
Efficient and reconfigurable VLSI architecture for different block matching motion estimation algorithms
ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing, Munich, Germany, 21 April 1997 - 24 April 1997
Zhang, Xiao-Dong; Tsui, Chi-Ying Conference paper
Exploring the power consumption of different motion estimation architectures for video compression
IEEE International Symposium on Circuits and Systems, Hong Kong, 9 June 1997 - 12 June 1997
Chan, Kai keung; Tsui, Chi Ying Conference paper
Low Power Motion Estimation Design Using Adaptive Pixel Truncation
1997 International Symposium on Low Power Electronics and Design, Monterey, California, USA, 18 August 1997 - 20 August 1997
He, Zhong-Li; Chan, Kai-Keung; Tsui, Chi Ying; Liou, Ming L. Conference paper
Low power soft output viterbi decoder scheme for Turbo Code decoding
IEEE International Symposium on Circuits and Systems, Hong Kong, 9 June 1997 - 12 June 1997
Lin, Lang; Tsui, Chi Ying; Cheng, Roger Shu Kwan Conference paper

1996 1

Improving the efficiency of power simulators by input vector compaction
33rd Design Automation Conference Proceedings, Las Vegas, Neveda, USA, 3 June 1996 - 7 June 1996
Tsui, Chi Ying; Marculescu, Radu; Marculescu, Diana; Pedram, Massoud Conference paper

1995 1

Power estimation methods for sequential logic-circuits
IEEE TRANSACTIONS on very large scale integration (vlsi) systems, v. 3, (3), September 1995, p. 404-416
Tsui, CY; Monteiro, J.; Pedram, M.; Devadas, S.; Despain, AM; Lin, B. Article

1994 6

Low power state assignment targeting two- and multi-level logic implementations
IEEE transactions on computer-aided design of integrated circuits and systems, November 1994, p. 82-87
Tsui, Chi-Ying; Pedram, Massoud; Chen, Chih-Ang; Despain, Alvin M. Article
Power efficient technology decomposition and mapping under an extended power consumption model
IEEE transactions on computer-aided design of integrated circuits and systems, v. 13, (9), 1994, p. 1110-1122
Tsui, Chi-Ying; Pedram, Massoud; Despain, Alvin M. Article
Saving power in the control path of embedded processors
IEEE design & test of computers, v. 11, (4), December 1994
Su, Ching-Long; Tsui, Chi-Ying; Despain, Alvin M. Article
Exact and approximate methods for calculating signal and transition probabilities in FSMs
Design Automation Conference, San Diego, California, USA, 6 June 1994 - 10 June 1994
Tsui, Chi-Ying; Pedram, Massoud; Despain, Alvin M. Conference paper
Exact and Approximate Methods of Switching Activity Estimation in Sequential Logic Circuits
International Workshop on Low Power Design, Napa, California, April 1994
Jose, Monteiro; Devadas, Srinivas; Lin, B.; Tsui, Chi Ying; Pedram, Massoud; Despain, Alvin Conference paper
Low Power Architectural Design and Compilation Techniques for High-Performance Processor
IEEE Compcon 94, San Diego, CA, USA, February 1994
Su, Ching-Long; Tsui, Chi Ying; Despain, Alvin Conference paper

1993 3

Efficient Estimation of Dynamic Power Consumption under a Real Delay Model
ACM/IEEE International Conference of Computer-Aided Design, Washington, DC, 7 November 1993 - 11 November 1993
Tsui, Chi Ying; Pedram, Massoud; Despain, Alvin Conference paper
Power Estimation Considering Charging and Discharging of Internal Nodes of CMOS Gates
Synthesis and Simulation Meeting and International Interchange, New York, NY, October 1993
Tsui, Chi Ying; Massoud, Pedram; Despain, Alvin Conference paper
Technology decomposition and mapping targeting low power dissipation
Proceedings - Design Automation Conference, Dallas, TX, USA, 14 June 1993 through 18 June 1993
Tsui, Chi-Ying; Pendram, Massoud; Despain, Alvin M. Conference paper

1992 2

A Full Range Design Automation System for Instruction Set Microprocessor
The First International Conference on PROLOG Application, London, UK, April 1992
Cheng, Gino; Tsui, Chi Ying Conference paper
Application-driven design automation for microprocessor design
Proceedings - Design Automation Conference, Anaheim, CA, Jun 08-12, 1992
Pyo, Iksoo; Su, Ching-Long; Huang, Ing-Jer; Pan, Kuo-Rueih; Koh, Yong-seon; Tsui, Chi-Ying; Chen, Hsu-Tsun; Cheng, Gino; Liu, Shihming; Wu, Shiqun; Despain, Alvin M. Conference paper


No Publications






Teaching Assignment
2021-22 Winter 1 2021-22 Fall 2 2020-21 Summer 0 2020-21 Spring 3 2020-21 Winter 0 2020-21 Fall 1


ISDN4000M Introduction to Embedded System Programming


EESM5060 Embedded Systems
ISDN4001 Final Year Design Project I


EESM5020 Digital VLSI System Design and Design Automation
EESM6980M MSc Project
ISDN4000I Mechatronic Systems Design with Embedded Computing


EESM5060 Embedded Systems


No Teaching Assignments


No Teaching Assignments






Research Postgraduate (RPG) Supervision From January 2019 to December 2022 (As of 30 January 2022)


All Supervisions Current RPGs Graduated RPGs




Current RPGs


Doctor of Philosophy LIU, Yingming
Electronic and Computer Engineering( 2021 - )

TIAN, Fengshi (co-supervision)
Electronic and Computer Engineering( 2021 - )

ZHANG, Chi (co-supervision)
Internet of Things( 2021 - )

HE, Jingyu
Electronic and Computer Engineering( 2020 - )

YAO, Yuan (co-supervision)
Electronic and Computer Engineering( 2020 - )

HUANG, Yucong
Electronic and Computer Engineering( 2019 - )

QU, Linping
Electronic and Computer Engineering( 2019 - )

WANG, Xiaomeng
Electronic and Computer Engineering( 2018 - )





Graduated RPGs


Doctor of Philosophy CHEN, Xizi
Electronic and Computer Engineering( Completed in 2021 )

MENG, Xiaodong
Electronic and Computer Engineering( Completed in 2021 )

XIA, Chenyang
Electronic and Computer Engineering( Completed in 2020 )

YU, Qian
Electronic and Computer Engineering( Completed in 2019 )




Master of Philosophy JIANG, Jingbo
Electronic and Computer Engineering( Completed in 2020 )

YAO, Yuan
Electronic and Computer Engineering( Completed in 2019 )









ProjectsFrom January 2020 to December 2022

All Projects 8 Leading Projects 1 Participating Projects 7


ACCESS: AI Chip Center for Emerging Smart Systems Participating


Innovation and Technology Fund


Project Team (HKUST)
CHENG Tim Kwang-Ting (Lead)
CHAN Man Sun
TSUI Chi Ying
XU Jiang
ZHANG Wei


2020 -




Development of a Long-Term Biocompatible Wireless Transcleral Electrical Stimulation Implant System for Pre-clinical Trials


開發用於臨床前試驗的長期生物相容無線鞏膜電刺激植入系統 Leading


Innovation and Technology Fund, Neurotech (Hong Kong) Limited


Project Team (HKUST)
TSUI Chi Ying (Lead)
KI Wing Hung
LEE Ricky Shi-wei


2020 -




Low Cost Wearable Self-Powered Wireless Sensors Network for real-time human activity and health monitoring Participating


Qatar National Research Fund


Project Team (HKUST)
TSUI Chi Ying


2020 - 2022




Portable Integrated Diagnostic Platform for Chronic Auto-Immune Diseases


針對自免疫疾病的可擕式集成診斷平臺 Participating


Innovation and Technology Fund


Project Team (HKUST)
YUAN George Jie (Lead)
TSUI Chi Ying


2020 -




Probing the Fundamental Structure of Matter with High Energy Particle Collisions


利用高能粒子撞擊研究物質的基本結構 Participating


RGC - Areas of Excellence Scheme


Project Team (HKUST)
TSUI Chi Ying


2019 -




High-Efficiency Low-Cost Multi-Mode Wireless Power Receiver Technology Platform


高效率低成本 多模無線充電器技術平臺 Participating


Allystar Technology (Shenzhen) Co., Ltd., Allystar Technology Company Limited, Innovation and Technology Fund


Project Team (HKUST)
KI Wing Hung (Lead)
TSUI Chi Ying


2018 - 2020




State Key Laboratory of Advanced Displays and Optoelectronics Technologies (The Hong Kong University of Science and Technology)


先進顯示與光電子技術國家重點實驗室(香港科技大學) Participating


Innovation and Technology Fund


Project Team (HKUST)
WONG Man (Lead)
CHAN Che Ting
CHAN Man Sun
CHEN Kevin Jing
FAN Zhiyong
KWOK Hoi Sing
LAU Kei May
LEE Ricky Shi-wei
POON Andrew Wing On
QUAN Long
SHENG Ping
TSUI Chi Ying
WONG Kam Sing
YUAN George Jie
YUE Chik Patrick


2013 -




Wireless multimedia communication systems Participating


Hong Kong Telecom Institute of Information Technology


Project Team (HKUST)
BEN LETAIEF Khaled (Lead)
MURCH Ross
TSUI Chi Ying


1997 - 2020






相关话题/香港科技大学 工学院