删除或更新信息,请邮件至freekaoyan#163.com(#换成@)

香港科技大学工学院老师教师导师介绍简介-Chik Patrick YUE

本站小编 Free考研考试/2022-01-30

Chik Patrick YUE
俞捷
PhD in Electrical Engineering
Stanford University, 1998

Professor
Department of Electronic and Computer Engineering

Director of Integrated Circuits Design Center



(852) 2358 7047
eepatrick@ust.hk
Room CYT3010
Personal Web

Google Scholar
gcFvb3avXYEC

ORCID
0000-0002-0211-2394

Scopus ID
7102350398




Research Interest Publications Projects Teaching Assignment RPG Supervision Space used




Research Interest
Optical wireless physical layer circuits and systems
High-speed wireline communication system-on-chip (SoC)
Millimeter-wave communication and sensing circuits
Indoor positioning and image processing technologies for robotic applications
Edge computing accelerator design for IoT applications



Publications
All Years 212 2022 0 2021 10 2020 13 2019 20 2018 9 2017 20 2016 140





2021 10

A 0.25-0.4-V, Sub-0.11-mW/GHz, 0.15-1.6-GHz PLL Using an Offset Dual-Path Architecture with Dynamic Charge Pumps
IEEE Journal of Solid-State Circuits, v. 56, (6), June 2021, article number 9226441, p. 1871-1885
Zhang, Zhao; Zhu, Guang; Yue, Chik Article
A W-Band Single-Antenna FMCW Radar Transceiver With Adaptive Leakage Cancellation
IEEE Journal of Solid-State Circuits, v. 56, (6), June 2021, article number 9249019, p. 1655-1667
Kalantarimahmoudabadi, Milad; Wang, Li; Shirinabadi, Hossein; Ali, Fotowat-Ahmady; Yue, Chik Article
Arbitrarily Tilted Receiver Camera Correction and Partially Blocked LED Image Compensation for Indoor Visible Light Positioning
IEEE Sensors Journal, February 2021
Wang, Yiru; Hussain, Babar; Yue, Chik Article
Design and Analysis of Continuous-Mode Doherty Power Amplifier With Second Harmonic Control
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 68, (7), July 2021, article number 9324781, p. 2247-2251
Shi, Weimin; Wen, Shi; Peng, Jun; Feng, Linping; Gao, Yong; He, Songbai; Yue, Chik Article
Robust Robotic Localization using Visible Light Positioning and Inertial Fusion
IEEE Sensors Journal, 21 January 2021
Guan, Weipeng; Linyi, Huang; Hussain, Babar; Yue, Chik Article
Sensing and Cancellation Circuits for Mitigating EMI-Related Common Mode Noise in High-Speed PAM-4 Transmitter
IEEE Transactions On Circuit and System I-Regular Papers, v. 68, (11), 1 November 2021, p. 4545-4555
Azmat, Rehan; Wang, Li; Maqbool, Khawaja Qasim; Wang, Can; Yue, Chik Article
A 60-Gb/s 1.2-pJ/bit 1/4-Rate PAM4 Receiver with a-8-dB JTRAN 40-MHz 0.2-UIPP JTOL Clock and Data Recovery
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, v. 2021-June, June 2021, article number 9492377
Wang, Li; Zhang, Zhao; Yue, Chik Conference paper
Efficient Training Convolutional Neural Networks on Edge Devices with Gradient-Pruned Sign-Symmetric Feedback Alignment
Lecture Notes in Electrical Engineering, v. 782, 2021, p. 13-22
Hong, Ziyang; Yue, Chik Conference paper
High Precision Indoor Robot Localization Using VLC Enabled Smart Lighting
2021 Optical Fiber Communications Conference and Exhibition, OFC 2021 - Proceedings, June 2021, article number 9489849
Wang, Yiru; Guan, Weipeng; Hussain, Babar; Yue, Chik Conference paper
Orthogonally Interweaved Data Encryption Method for Screen to Camera Communication
2021 Picture Coding Symposium, PCS 2021 - Proceedings, June 2021, article number 9477406, p. 251-255
Wang, Yiru; Yue, Chik Conference paper

2020 13

A 0.65-V 12-16-GHz Sub-Sampling PLL With 56.4-fsrms Integrated Jitter and-256.4-dB FoM
IEEE Journal of Solid-State Circuits, v. 55, (6), June 2020, article number 8976116, p. 1665-1683
Zhang, Zhao; Zhu, Guang; Yue, Chik Article
A 28-Ghz 16-GB/S High Efficiency 16-QAM Transmitter in 65-nm Cmos
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 67, (6), June 2020, article number 8995796, p. 1835-1845
Meng, Xiangyu; Kalantarimahmoudabadi, Milad; Chi, Baoyong; Chen, Wenhua; Chen, Zhijian; Lin, Xiaoling; Yue, Chik Article
A 32-Gb/s 0.46-pJ/bit PAM4 CDR Using a Quarter-Rate Linear Phase Detector and a Self-Biased PLL-Based Multiphase Clock Generator
IEEE Journal of Solid-State Circuits, v. 55, (10), 10 July 2020, p. 2734-2746
Zhang, Zhao; Zhu, Guang; Wang, Can; Wang, Li; Yue, Chik Article
A 42-dB Omega 25-Gb/s CMOS Transimpedance Amplifier With Multiple-Peaking Scheme for Optical Communications
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 67, (1), January 2020, article number 8653329, p. 72-76
Pan, Quan; Wang, Yipeng; Yue, Patrick C. Article
A 75-Mb/s RGB PAM-4 Visible Light Communication Transceiver System with Pre-and Post-Equalization
Journal of Lightwave Technology, v. 39, (5), March 2021, article number 9244553, p. 1381-1390
Wang, Li; Wang, Xuanzheng; Kang, Jian; Yue, Chik Article
A Low-Power PAM4 Receiver With an Adaptive Variable-Gain Rectifier-Based Decoder
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 28, (10), October 2020, article number 9149838, p. 2099-2108
Pan, Quan; Wang, Li; Luo, Xiongshi; Yue, Chik Article
Compact Millimeter-Wave SPDT Switches and Wilkinson Power Combiners Implemented by LC-Based Spiral Transmission Lines
IEEE Transactions on Microwave Theory and Techniques, v. 69, (2), February 2021, article number 9295353, p. 1305-1315
Meng, Xiangyu; Yue, Chik Article
A Real-Time RGB PAM-4 Visible Light Communication System Based on a Transceiver Design with Pre-and Post-equalizations
Lecture Notes of the Institute for Computer Sciences, Social-Informatics and Telecommunications Engineering, v. 342, 2021, p. 265-270
Kang, Jian; Wang, Xuanzheng; Wang, Li; Wang, Can; Yue, Chik Conference paper
A RGB LED PAM-4 Visible Light Communication Transmitter Based on a System Design with Equalization
Proceedings of 2020 IEEE International Conference on Artificial Intelligence and Computer Applications, ICAICA 2020, June 2020, article number 9182676, p. 798-801
Wang, Xuanzheng; Wang, Li; Jian, Kang; Wang, Can; Yue, Chik Conference paper
A Single-Antenna W-Band FMCW Radar Front-End Utilizing Adaptive Leakage Cancellation
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 2020, February 2020, article number 9063129, p. 88-90
Kalantarimahmoudabadi, Milad; Shirinabadi, Hossein; Fotowat-Ahmadi, Ali; Yue, Chik Conference paper
An RGB-LED Driver with Feed-Forward Equalization Used for PAM-4 Visible Light Communication
Lecture Notes of the Institute for Computer Sciences, Social-Informatics and Telecommunications Engineering, v. 342, 2021, p. 228-234
Xu, Bo; Wang, Li; Kang, Jian; Qui, Cong; Yue, Chik Conference paper
Cdse/cds nanorod enhancement film for blue-laser based visible light communication systems
SID Symposium Digest of Technical Papers, v. 51, (1), 25 September 2020, article number 53-3, p. 781-783, Book 2: Session 53: Emerging Processes and Materials
Cheng, Chun Hin; Kang, Jian; Gupta, Swadesh Kumar; Yue, Chik; Kwok, Hoi Sing; Srivastava, Abhishek Kumar Conference paper
Performance Analysis and Evaluation of Outdoor Visible Light Communication Reception
Lecture Notes of the Institute for Computer Sciences, Social-Informatics and Telecommunications Engineering, LNICST, v. 342, 2021, p. 235-241
Wang, Yiru; Xu, Bo; Kang, Jian; Qiu, Cong; Yue, Chik Conference paper

2019 20

3 GHz, 1 mW Inverter-Based TIA with Capacitive Feedback for Enhanced Gain and Sensitivity in VLC Applications
Electronics Letters, v. 55, (8), April 2019, p. 469-471
Li, Xianbo; Yue, Chik Article
A Tunable Reflection/Transmission Coefficient Circuit Using a 45° Hybrid Coupler with Two Orthogonal Variables
IEEE Transactions on Microwave Theory and Techniques, v. 67, (4), April 2019, article number 8642516, p. 1402-1411
Kalantarimahmoudabadi, Milad; Meng, Xiangyu; Fotowat-Ahmady, Ali; Yue, Chik Article
Simultaneous Magnetic Resonance Wireless Power and High-Speed Data Transfer System with Cascaded Equalizer for Variable Channel Compensation
IEEE Transactions on Power Electronics, v. 34, (12), December 2019, p. 11594-11604
Wang, Li; Li, Xianbo; Raju, Salahuddin; Yue, Chik Article
Smart mu LED Display-VLC System With a PD-Based/Camera-Based Receiver for NFC Applications
IEEE Photonics Journal, v. 11, (1), February 2019, article number 7901008
Li, Xianbo; Hussain, Babar; Kang, Jian; Kwok, Hoi Sing; Yue, Chik Article
30.8 A 0.65V 12-to-16GHz Sub-Sampling PLL with 56.4fsrms Integrated Jitter and -256.4dB FoM
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 62, March 2019, article number 8662378, p. 488-490
Zhang, Zhao; Zhu, Guang; Yue, Chik Conference paper
A 0.25-0.4V, Sub-0.11mW/GHz, 0.15-1.6GHz PLL Using an Offset Dual-Path Loop Architecture with Dynamic Charge Pumps
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, v. 2019-June, June 2019, article number 8778061, p. C158-C159
Zhang, Zhao; Zhu, Guang; Yue, Chik Conference paper
A 12.5-Gb/s 4.8-mW Full-Rate CDR with Low-Power Sample-and-Hold Linear Phase Detector
Proceedings of 2018 IEEE International Conference on Integrated Circuits, Technologies and Applications, ICTA 2018, May 2019, article number 8706047, p. 96-97
Zhang, Zhao; Yue, Chik Conference paper
A 24-32GHz gm-boosted LNA with Triple Coupling Input Transformer for 5G Applications
2019 IEEE MTT-S International Wireless Symposium, IWS 2019 - Proceedings, May 2019, article number 8804024
Wu, Xuan; Kalantarimahmoudabadi, Milad; Shin, Dong Hun; Yue, Chik Conference paper
A 28-GHz Compact SPDT Switch Using LC-Based Spiral Transmission Lines in 65-nm CMOS
Proceedings - 2019 IEEE Asian Solid-State Circuits Conference, A-SSCC 2019 / IEEE. Macao : IEEE Asian Solid-State Circuits Conference (IEEE SSCC), 2019, p. 79-80, Article number 9056909
Meng, Xiangyu; Zheng, Zhenpeng; Zhang, Jiaqi; Yue, Patrick C. Conference paper
A 32-Gb/s 0.46-pJ/bit PAM4 CDR Using a Quarter-Rate Linear Phase Detector and a Low-Power Multiphase Clock Generator
2019 IEEE Asian Solid-State Circuits Conference (A-SSCC): Proceedings of Technical papers / IEEE. Piscataway, New Jersey : IEEE, 2019, p. 241-242, Article number 9056913
Zhang, Zhao; Zhu, Guang; Wang, Can; Wang, Li; Yue, Chik Conference paper
A 32-Gb/s 0.48-pJ/bit PAM4 CDR Using Low-Power Multiphase Clock Generator
2019 IEEE Asian Solid-State Circuits Conference (A-SSCC), Proceedings of Technical Papers / IEEE Asian Solid-State Circuits Conference (IEEE SSCC). Macao : IEEE Asian Solid-State Circuits Conference (IEEE SSCC), 2019, p. 241-242
Zhang, Zhao; Zhu, Guang; Wang, Can; Wang, Li; Yue, Chik Patrick Conference paper
A 52-Gb/s Sub-1pJ/bit PAM4 Receiver in 40-nm CMOS for Low-Power Interconnects
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, v.2019-June, June 2019, article number 8778159, p. C274-C275
Wang, Can; Zhu, Guang; Zhang, Zhao; Yue, Chik Conference paper
A Dual-Resonance Matching Circuit for Magnetic Resonance Wireless Power Transfer Systems
IEEE 8th Global Conference on Consumer Electronics, GCCE 2019 / IEEE. Piscataway, New Jersey : IEEE, 2019, p. 94-95
Wang, Li; Caroline, Tjoe; Xu, Bo; Yue, Chik Conference paper
A Universal VLC Modulator for Retrofitting LED Lighting and Signage
IEEE 8th Global Conference on Consumer Electronics, GCCE 2019 / IEEE. Piscataway, New Jersey : IEEE, 2019, p. 1008-1009
Hussain, Babar; Qiu, Cong; Yue, Chik Conference paper
An AC-Powered Smart LED Bulb for 3D Indoor Localization Using VLC
IEEE 8th Global Conference on Consumer Electronics, GCCE 2019 / IEEE. Piscataway, New Jersey : IEEE, 2019, p. 236-237
Xu, Bo; Liu, Zhaojun; Yue, Chik Conference paper
Bluetooth Based Wireless Control for iBeacon and VLC Enabled Lighting
IEEE 8th Global Conference on Consumer Electronics, GCCE 2019 / IEEE. Piscataway, New Jersey : IEEE, 2019, p. 614-615
Qiu, Cong; Hussain, Babar; Yue, Chik Conference paper
Compact Modeling of Laser Diode for Visible Laser Light Communication (VLLC)Systems
2018 Conference on Lasers and Electro-Optics Pacific Rim, CLEO-PR 2018, April 2019, article number 8700047
Wang, Can; Xu, Bo; Li, Xianbo; Wang, Li; Yue, Chik Conference paper
Design of a Real-Time Visible Laser Light Communication System with Basedband in FPGA for High Definition video Transmission
IEEE 8th Global Conference on Consumer Electronics, GCCE 2019 / IEEE. Piscataway, New Jersey : IEEE, 2019, p. 179-180
Kang, Jian; Wang, Li; Yue, Chik Conference paper
Smart Lighting Control and Services using Visible Light Communication and Bluetooth
IEEE 8th Global Conference on Consumer Electronics, GCCE 2019 / IEEE. Piscataway, New Jersey : IEEE, 2019, p. 1038-1039
Hussain, Babar; Qiu, Cong; Yue, Chik Conference paper
Smart Table Applications Based on Magnetic Resonance Wireless Power Transfer
IEEE 8th Global Conference on Consumer Electronics, GCCE 2019 / IEEE. Piscataway, New Jersey : IEEE , 2019, p. 1045-1046
Wang, Li; Caroline, Tjoe; Kang, Jian; Yue, Chik Conference paper

2018 9

A 26-Gb/s 0.31-pJ/bit Receiver With Linear Sampling Phase Detector for Data and Edge Equalization
IEEE Solid-State Circuits Letters, v. 1, (2), February 2018, p. 46-49
Zhu, Guang; Wang, Yipeng; Yue, Chik Article
A Full Ka-Band Power Amplifier With 32.9% PAE and 15.3-dBm Power in 65-nm CMOS
IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v. 65, (9), September 2018, article number 8334593, p. 2657-2668
Jia, Haikun; Prawoto, Clarissa Cyrilla; Chi, Baoyong; Wang, Zhihua; Yue, Chik Article
Design of a 2.2-mW 24-Mb/s CMOS VLC Receiver SoC with Ambient Light Rejection and Post-Equalization for Li-Fi Applications
Journal of Lightwave Technology, v. 36, (12), June 2018, p. 2366-2375
Li, Xianbo; Hussain, Babar; Wang, Li; Jiang, Junmin; Yue, Chik Article
Study on the Effects of Distortions and Common-mode Noise in High-speed PAM-4 Systems
Electronics Letters, v. 54, (8), April 2018, p. 484-486
Luo, Duona; Kalantarimahmoudabadi, Milad; Yue, Chik Article
A 16-Gb/S 0-DB Power Back-Off 16-QAM Transmitter at 28 GHz in 65-NM CMOS
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2018-June, October 2018, article number 8502422, p. 217-218
Meng, Xiangyu; Wang, Can; Kalantarimahmoudabadi, Milad; Yue, Chik Conference paper
Compact modeling of laser diode for visible laser light communication (VLLC) systems
Optics InfoBase Conference Papers, v. Part F113-CLEOPR 2018, 2018
Wang, Can; Xu, Bo; Li, Xianbo; Wang, Li; Yue, Chik Conference paper
Micro-LED Display with Simultaneous Visible Light Communication Function
SID Symposium Digest of Technical Papers, v. 49, (1), May 2018, p. 876-879
Li, Xianbo; Cho, Wai Keung; Hussain, Babar; Kwok, Hoi Sing; Yue, Chik Conference paper
Smart LCD Displays with Modulated LED Backlights for Li-Fi Enabled Applications
Optics InfoBase Conference Papers, v. Part F125-OPJ 2018, 2018
Hussain, Babar; Li, Xianbo; Lee, Chung Yung; Yue, Chik Conference paper
Smart micro-LED display with synchronized information broadcast for enhanced user interaction
Proceedings of the International Display Workshops, v. 1, 2018, p. 421-424
Li, Xianbo; Hussain, Babar; Kang, Jian; Kwok, Hoi Sing; Yue, Chik Conference paper

2017 20

A 60-GHz 4-Gb/s Fully Integrated NRZ-to-QPSK Fiber-Wireless Modulator
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 64, (3), March 2017, article number 7742997, p. 653-663
Wang, Yipeng; Luo, Duona; Pan, Quan; Jing, Liwen; Li, Zhixin; Yue, C. Patrick Article
EMI-related Common-mode (CM) Noise Analysis and Prediction of High-speed Source-series Terminated (SST) I/O Driver in System-on-package (SOP)
IEEE Transactions on Circuits and Systems-II: Express Briefs, 65, (99), April 2017, p. 446-450
Maqbool, Khawaja Qasim; Luo, Duona; Luo, Xingyun; Yu, Huichun; Yue, Patrick C. Article
A 16-Gb/s Millimeter-wave CMOS Transmitter with Integrated Optical Receiver for 5G Baseband-over-fiber Systems
Summer Topicals Meeting Series, SUM 2017, August 2017, article number 8012724, p. 209-210
Jia, Haikun; Zhu, Guang; Wang, Yipeng; Wang, Zhihua; Yue, C. Patrick Conference paper
A 2.2-mW 24-Mb/s CMOS LiFi Receiver System-on-a-Chip with Ambient Light Rejection and Post-Equalization
30th Annual Conference of the IEEE Photonics Society, IPC 2017, v. 2017-January, November 2017, p. 29-30
Li, Xianbo; Hussain, Babar; Wang, Li; Jiang, Junmin; Yue,Patrick C. Conference paper
A 26-Gb/s 8.1-mW receiver with linear sampling phase detector for data and edge equalization
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, August 2017, article number 8008526, p. C324-C325
Wang, Yipeng; Li, Zhixin; Zhuang, John; Zhi, Charlie; Yue, Chik Conference paper
A 32.9% PAE, 15.3 dBm, 21.6–41.6 GHz power amplifier in 65nm CMOS using coupled resonators
2016 IEEE Asian Solid-State Circuits Conference (A-SSCC 2016), March 2017, p. 345-348
Jia, Haikun; Prawoto, Clarissa Cyrilla; Chi, Baoyong; Wang, Zhihua; Yue, Patrick C. Conference paper
A Design Methodology of Efficient On-Chip Wireless Power Transmission
2017 International Symposium on VLSI Technology, Systems and Application, VLSI-TSA 2017, IEEE, 2017, Article number 7942476
Raju, Salahuddin; Prawoto, Clarissa Cyrilla; Chan, Man Sun; Yue, Patrick C Conference paper
A Fully Adaptive Continuous-time Linear Equalizer for PAM4 Signaling Based on a Statistical Algorithm
EDSSC 2017 - 13th IEEE International Conference on Electron Devices and Solid-State Circuits, v. 2017-January, December 2017, p. 1-2
Zhu, Guang; Luo, Duona; Zhuang, John; Zhi, Charlie; Yue, Patrick C Conference paper
A Low-Power PAM4 Receiver Using 1/4-Rate Sampling Decoder with Adaptive Variable-Gain Rectification
2017 IEEE Asian Solid-State Circuits Conference, A-SSCC 2017 - Proceedings, v. 2017-January, December 2017, p. 81-84
Zhu, Guang; Pan, Quan; Zhuang, John; Zhi, Charlie; Yue, Chik Conference paper
A Micro-LED Driver with Bandwidth Expansion for Visible Light Communications
Optics InfoBase Conference Papers, v. Part F78-JSAP 2017, 2017
Sun, Liusheng; Hussain, Babar; Zhu, Guang; Yue, Patrick C Conference paper
An Adaptive Threshold Decoding Algorithm for Visible Light Communication Data Recovery from LED-Based Display Systems
30th Annual Conference of the IEEE Photonics Society, IPC 2017, v. 2017-January, November 2017, p. 239-240
Sun, Liusheng; Li, Xianbo; Hussain, Babar; Yue, Chik Patrick Conference paper
Analysis on EMI Related Common-mode Noise of Serdes Transmitter
DesignCon 2017, Santa Clara, CA, 31 January - 2 February 2017
Luo, Duona; Huang, Yintao; Maqbool, Khawaja Qasim; Yue, Patrick Chik; Yu, Huichun; Luo, Xingyun Conference paper
Common-mode (CM) Noise Suppression of Serializer/deserializer (SERDES) Transmitters Based on Parallel Impedance Scaled Stages
EDSSC 2017 - 13th IEEE International Conference on Electron Devices and Solid-State Circuits, v. 2017-January, 1 December 2017, p. 1-2
Maqbool, Khawaja Qasim; Luo, Duona; Zhu, Guang; Yue, Patrick C. Conference paper
Electromagnetic Interference Related Common Mode Noise Analysis for NRZ/PAM-4 Signals in Wireline Communication Link
EDSSC 2017 - 13th IEEE International Conference on Electron Devices and Solid-State Circuits, v. 2017-January, December 2017, p. 1-2
Luo, Duona; Zhu, Guang; Yue, Patrick C. Conference paper
EMI Common-Mode (CM) Noise Suppression from Self-calibration of High-Speed SST Driver Using On-chip Process Monitoring Circuit
Proceedings - IEEE International Symposium on Circuits and Systems, September 2017, article number 8050879, p. 2359-2362
Maqbool, Khawaja Qasim; Luo, Duona; Zhu, Guang; Luo, Xingyun; Yu, Huichun; Yue, Patrick C. Conference paper
Fully-Integrated AMLED Micro Display System With a Hybrid Voltage Regulator
2017 IEEE Asian Solid-State Circuits Conference, A-SSCC 2017 - Proceedings, v. 2017-January, December 2017, p. 277-280
Jiang, Junmin; Sun, Liusheng; Zhang, Xu; Yuen, Shing Hin; Li, Xianbo; Ki, Wing Hung; Yue, Patrick C.; Lau, Kei May Conference paper
Li-Fi based Secure Programmable QR Code (LiQR)
Optics InfoBase Conference Papers, v. Part F78-JSAP 2017, 2017
Hussain, Babar; Lau, Carson; Yue, Patrick C Conference paper
Modulation Optimization for Visible Laser Light Communication Systems
2017 IEEE Photonics Conference, Lake Buena Vista, Florida USA, 1-5 October 2017
Wang, Li; Hussain, Babar; Li, Xianbo; Yue, Patrick C. Conference paper
Modulation Optimization for Visible Laser Light Communication Systems
30th Annual Conference of the IEEE Photonics Society, IPC 2017, v. 2017-January, November 2017, p. 301-302
Wang, Li; Hussain, Babar; Li, Xianbo; Yue, Chik Conference paper
RF Signal Processing and Built-in-self-test Design in a 12.8-gb/s CMOS Baseband-over-fiber SoC with Integrated Photodetector
Optics InfoBase Conference Papers, v. Part F59-SPPCom 2017, 2017
Yue, Patrick C; Jia, Haikun; Zhu, Guang; Wang, Yipeng; Wang, Zhihua Conference paper

2016 5

A Fully Integrated IEEE 802.15.7 Visible Light Communication Transmitter With On-Chip 8-W 85% Efficiency Boost LED Driver
Journal of Lightwave Technology, v. 34, (10), May 2016, p. 2419-2430
Che, Fengyu; Wu, Liang; Hussain, Babar; Li, Xianbo; Yue, Patrick C. Article
An 18-Gb/s Fully Integrated Optical Receiver With Adaptive Cascaded Equalizer
IEEE Journal of Selected Topics in Quantum Electronics, v. 22, (6), November-December, 2016, article number 6100509
Pan, Quan; Wang, Yipeng; Lu, Yan; Yue, Patrick C. Article
An NMOS-LDO Regulated Switched-Capacitor DC-DC Converter With Fast-Response Adaptive-Phase Digital Control
IEEE Transactions on Power Electronics, v. 31, (2), February 2016, p. 1294-1303
Lu, Yan; Ki, Wing Hung; Yue, Chik Patrick Article
Design and Characterization of Active Matrix LED Microdisplays with Embedded Visible Light Communication Transmitter
Journal of Lightwave Technology, v. 34, (14), July 2016, p. 3449-3457
Li, Xianbo; Wu, Liang; Liu, Zhaojun; Hussain, Babar; Chong, Wing Cheung; Lau, Kei May; Yue, Patrick C. Article
Fabrication and Measurement of Millimeter-Wave On-chip MIMO Antenna for CMOS RFIC's
Proceedings: 2016 IEEE MTT-S International Wireless Symposium (IWS), October 2016, p. 1-4
Jing, Liwen; Rowel, Corbett R.; Raju, Salahuddin; Chan, Man Sun; Murch, Ross David; Yue, Patrick C. Conference paper

2015 15

A 30-Gb/s 1.37-pJ/b CMOS Receiver for Optical Interconnects
Journal of Lightwave Technology, v. 33, (4), February 2015, article number 6985584, p. 778-786
Pan, Quan; Wang, Yipeng; Hou, Zhengxiong; Sun, Li; Lu, Yan; Ki, Wing-Hung; Chiang, Patrick; Yue, Chik Patrick Article
A Fully-Integrated Low-Dropout Regulator With Full-Spectrum Power Supply Rejection
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 62, (3), March 2015, p. 707-716
Lu, Yan; Wang, Yipeng; Pan, Quan; Ki, Wing Hung; Yue, Patrick C. Article
China's IC Industrial Revolution
IEEE Solid-State Circuits Magazine, v. 7, (2), June 2015, p. 7-11
Yue, Patrick C. Article
Visible Light Communication System Design and Link Budget Analysis
Journal of Lightwave Technology, v. 33, (24), December 2015, article number 7323789, p. 5201-5209
Hussain, Babar; Li, Xianbo; Che, Fengyu; Yue, Patrick C.; Wu, Liang Article
A 123-Phase DC-DC Converter-Ring with Fast-DVS for Microprocessors
2015 IEEE International Solid-state Circuits Conference: Digest of Technical Papers, Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 364-365
Lu, Yan; Jiang, Junmin; Ki, Wing-Hung; Yue, Chik Patrick; Sin, Sai-Weng; U, Seng-pan; Martins, Rui Paulo Conference paper
A 60GHz 4Gb/s fully integrated NRZ-to-QPSK modulator SoC for backhaul links in fiber-wireless networks
ESSCIRC Conference 2015 - 41st European Solid-State Circuits Conference (ESSCIRC 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 152-155
Wang, Yipeng; Luo, Duona; Pan, Quan; Jing, Liwen; Li, Zhixin; Yue, Patrick C. Conference paper
A Fully Integrated IEEE 802.15.7 Visible Light Communication Transmitter with On-chip 8-W 85% Efficiency Boost LED Driver
2015 Symposium on VLSI Circuits (VLSI Circuits 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. C216-C217
Hussain, Babar; Che, Fengyu; Zhang, Feng; Yim, Tak-Sang; Cheng, Lin; Ki, Wing-Hung; Yue, Patrick Chik; Wu, Liang Conference paper
An AMLED Microdisplay Driver SoC with Built-In 1.25-Mb/s VLC Transmitter
2015 Symposium on VLSI Circuits (VLSI Circuits 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. C328-C329
Wu, Liang; Li, Xianbo; Chong, Wing Cheung; Liu, Zhaojun; Che, Fengyu; Hussain, Babar; Lau, Kei May; Yue, Chik Patrick Conference paper
Efficient On-Chip Wireless Power Transmission
2015 IEEE International Wireless Symposium (IWS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015
Raju, Salahuddin; Chan, Mansun; Yue, Patrick Conference paper
Efficient wireless power transmission technology based on above-CMOS integrated (ACI) high quality inductors
2014 IEEE International Electron Devices Meeting (IEDM), February 2015, article number 7047038, p. 12.4.1-12.4.4
Raju, Salahuddin; Li, Xing; Lu, Yan; Tsui, Chi Ying; Ki, Wing Hung; Chan, Mansun; Yue, Chik Patrick Conference paper
Link Budget Analysis for Visible Light Communication Systems
2015 IEEE International Wireless Symposium (IWS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015
Hussain, Babar; Che, Fengyu; Yue, Chik Patrick; Wu, Liang Conference paper
Millimeter-Wave 4:1 Transformer-based Balun Design for CMOS RF IC’s
2015 IEEE International Wireless Symposium (IWS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015
Jing, Liwen; Li, Alvin Siu-chi; Luo, Duona; Rowell, Corbett R.; Yue, Patrick C. Conference paper
Modeling of On-Chip Wireless Power Transmission System
2015 IEEE International Wireless Symposium (IWS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015
Raju, Salahuddin; Prawoto, Clarissa Cyrilla; Chan, Mansun; Yue, Chik Patrick Conference paper
Recent Advancements in Visible Light Communication Modulator SoCs
2015 IEEE Summer Topicals Meeting Series (SUM 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 49-50
Yue, Patrick Chik; Wu, Liang; Li, Xianbo; Che, Fengyu; Hussain, Babar Conference paper
Recent Developments in Transceiver SoC Design for Next Generation Optical Networks
2015 Asia-Pacific Microwave Conference (APMC 2015), IEEE, 2015
Yue, Patrick C.; Luo, Duona; Zhu, Guang; Wang, Yipeng; Maqbool, Khawaja Qasim; Li, Zhixin Conference paper

2014 18

A 0.5-V P-Well/Deep N-Well Photodetector in 65-nm CMOS for Monolithic 850-nm Optical Receivers
IEEE Photonics Technology Letters, v. 26, (12), June 2014, article number 6799223, p. 1184-1187
Pan, Quan; Hou, Zhengxiong; Li, Yu; Poon, Andrew Wing On; Yue, Chik Patrick Article
A 26-28-Gb/s Full-Rate Clock and Data Recovery Circuit With Embedded Equalizer in 65-nm CMOS
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 61, (7), 2014, article number 6748989, p. 2139-2149
Sun, Li; Pan, Quan; Wang, Keh-Chung; Yue, Chik Patrick Article
A dual-band SP6T T/R switch in SOI CMOS with 37-dBm P-0.1 dB or GSM/W-CDMA Handsets
IEEE Transactions on Microwave Theory and Techniques, v. 62, (4), 2014, article number 6759762, p. 861-870
Wang, X. Shawn; Yue, Chik Patrick Article
Concurrent Design Analysis of High-Linearity SP10T Switch With 8.5 kV ESD Protection
IEEE Journal of Solid-State Circuits, v. 49, (9), 2014, article number 6850080, p. 1927-1941
Wang, X. Shawn; Wang, Xin; Lu, Fei; Zhang, Chen; Dong, Zongyu; Wang, Li; Ma, Rui; Shi, Zitao; Wang, Albert; Chang, Mau-Chung Frank; Wang, Dawn; Joseph, Alvin; Yue, Chik Patrick Article
Design and characterization of wireless power links for brain-machine interface applications
IEEE Transactions on Power Electronics, v. 29, (10), 2014, article number 6718154, p. 5462-5471
Wu, Rongxiang; Li, Wei; Luo, H.; Sin, Johnny Kin On; Yue, Chik Patrick Article
Modeling of Mutual Coupling Between Planar Inductors in Wireless Power Applications
IEEE Transactions on Power Electronics, v. 29, (1), 2014, article number 6482651, p. 481-490
Raju, Salahuddin; Wu, Rongxiang; Chan, Man Sun; Yue, Chik Patrick Article
17.11 A 0.65ns-response-time 3.01ps FOM Fully-integrated Low-dropout Regulator with Full-spectrum Power-supply-rejection for Wideband Communication Systems
2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), Institute of Electrical and Electronics Engineers (IEEE), 2014, p. 306-307
Lu, Yan; Ki, Wing-Hung; Yue, Chik Patrick Conference paper
A 23-mW 30-Gb/s Digitally Programmable Limiting Amplifier for 100GbE Optical Receivers
Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium, USA : Institute of Electrical and Electronics Engineers Inc., 2014, p. 279-282
Hou, Zhengxiong; Pan, Quan; Wang, Yipeng; Wu, Liang; Yue, Chik Patrick Conference paper
A 25Gbps, 2x-Oversampling CDR Using a Zero-Crossing Linearizing Phase Detector
Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium, USA : IEEE, 2014, p. 271-274
Wang, Zhongkai; Bai, Rui; Wang, Juncheng; Jing, Xing; Nan, Qi; Sun, Li; Yue, C. Patrick; Hong, Zhiliang; Chiang, Patrick Yin Conference paper
A 3-mW 25-Gb/s CMOS Transimpedance Amplifier with Fully Integrated Low-dropout Regulator for 100GbE Systems
Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium, 2014, article number 6851718, p. 275-278
Wang, Yipeng; Lu, Yan; Pan, Quan; Hou, Zhengxiong; Wu, Liang; Ki, Winghung; Yue, Chik Patrick Conference paper
A 41-mW 30-Gb/s CMOS optical receiver with digitally-tunable cascaded equalization
European Solid-State Circuits Conference, v. 2014, article number 6942038, p. 127-130
Pan, Quan; Wang, Yipeng; Hou, Zhengxiong; Sun, Li; Wu, Liang; Ki, Wing Hung; Chiang, Patrick; Yue, Chik Patrick Conference paper
A 48-mW 18-Gb/s Fully Integrated CMOS Optical Receiver with Photodetector and Adaptive Equalizer
2014 Symposium on VLSI Circuits (VLSI-Circuits 2014), Institute of Electrical and Electronics Engineers (IEEE), 2014
Pan, Quan; Hou, Zhengxiong; Wang, Yipeng; Lu, Yan; Ki, Wing Hung; Wang, Keh Chung; Yue, Chik Patrick Conference paper
Design and implementation of IEEE 802.15.7 VLC PHY-I transceiver
2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), 2014, article number 7021249
Che, Fengyu; Hussain, Babar; Wu, Liang; Yue, Chik Patrick Conference paper
Differential stacked spiral inductor and transistor layout designs for broadband high-speed circuits
2014 IEEE International Symposium on Radio-Frequency Integration Technology (RFIT 2014), University of Science and Technology of China (USTC), Hefei, China, 27-30 August 2014
Pan, Quan; Sun, Li; Yue, Patrick Chik Conference paper
Hardware and Software Co-design of Visible Light Indoor Positioning Systems for Robotics
2014 International Conference on Advanced Control, Automation and Robotics, Hong Kong, 2014
Che, Fengyu; Hussain, Babar; Qiu, Kejie; Liu, Ming; Wu, Liang; Yue, Chik Patrick Conference paper
Integrated Magnetics for Eco-friendly LED System-on-a-Chip Applications
The 4th International Power Supply on Chip Workshop (PwrSoC2014), Boston, Massachusetts, USA, 6-8 October 2014
Fang, Xiangming; Sin, Johnny Kin On; Lau, Kei May; Yue, Chik Patrick; Mok, Philip Kwok Tai Conference paper
Through Silicon Underfill Dispensing for 3D Die/Interposer Stacking
Proceedings - Electronic Components and Technology Conference, September 2014, article number 6897397, p. 919-924
Le, Fuliang; Lee, Ricky Shi-wei; Lau, Kei May; Yue, Chik Patrick; Sin, Johnny Kin On; Mok, Philip Kwok Tai; Ki, Wing Hung; Choi, Hoi Wai Conference paper
Towards Indoor Localization Using Visible Light Communication for Consumer Electronic Devices
2014 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS 2014), Institute of Electrical and Electronics Engineers (IEEE), 2014, p. 143-148
Liu, Ming; Qiu, Kejie; Che, Fengyu; Li, Shaohua; Hussain, Babar; Wu, Liang; Yue, Patrick C. Conference paper

2013 14

A +/- 0.5% Precision On-Chip Frequency Reference With Programmable Switch Array for Crystal-Less Applications
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 60, (10), 2013, article number 6584791, p. 642-646
Lu, Yan; Yuan, Gang; Der, Lawrence; Ki, Wing-Hung; Yue, Chik Patrick Article
A GaN-Based Lamb-Wave Oscillator on Silicon for High-Temperature Integrated Sensors
IEEE Microwave and Wireless Components Letters, v. 23, (6), 2013, article number 6514898, p. 318-320
Lu, Xing; Ma, Jun; Yue, Chik Patrick; Lau, Kei May Article
High-Q Backside Silicon-Embedded Inductor for Power Applications in μH and MHz Range
IEEE Transactions on Electron Devices, v. 60, (1), January 2013, article number 6353195, p. 339-345
Wu, Rongxiang; Sin, Johnny Kin On; Yue, Patrick Chik Article
Silicon-Embedded Receiving Coil for High-Efficiency Wireless Power Transfer to Implantable Biomedical ICs
IEEE Electron Device Letters, v. 34, (1), 2013, article number 6365746, p. 9-11
Wu, Rongxiang; Raju, Salahuddin; Chan, Man Sun; Sin, Johnny Kin On; Yue, Chik Patrick Article
A 13.56MHz fully integrated 1X/2X active rectifier with compensated bias current for inductively powered devices
Digest of Technical Papers - 2013 60th IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 17 February 2013 - 21 February 2013
Lu, Yan; Li, Xing; Ki, Wing-Hung; Tsui, Chiying; Yue, Patrick Chik Conference paper
A 25-28 Gbps Clock and Data Recovery System with Embedded Equalization in 65-nm CMOS
International Solid-State Circuits Conference Student Research Preview 2013, San Francisco, USA, 2013
Sun, Li; Pan, Quan; Wang, Yipeng; Hou, Zhengxiong; Lu, Yan; Yue, Chik Patrick Conference paper
A 25-Gb/s 32.1-dB CMOS Limiting Amplifier for Integrated Optical Receivers
2013 IEEE 10th International Conference on ASIC (ASICON 2013), Institute of Electrical and Electronics Engineers (IEEE), 2013
Hou, Zhengxiong; Wang, Yipeng; Pan, Quan; Yue, C. Patrick Conference paper
A 65-nm CMOS P-well/Deep N-well Avalanche Photodetector for Integrated 850-nm Optical
2013 IEEE 10th International Conference on ASIC (ASICON 2013), Institute of Electrical and Electronics Engineers (IEEE), 2013
Pan, Quan; Hou, Zhengxiong; Wang, Yipeng; Yue, Chik Patrick Conference paper
A Smartphone SP10T T/R Switch in 180-nm SOI CMOS with 8kV? ESD Protection by Co-Design
Proceedings of the Custom Integrated Circuits Conference, November 2013, article number 6658474
Wang, Xiao Shawn; Wang, Xin; Lu, Fei; Wang, Li; Ma, Rui; Dong, Zongyu; Sun, Li; Wang, Albert; Yue, Chik Patrick; Wang, Dawn; Joseph, Alvin Conference paper
Concurrent Design Analysis of A 8500V ESD-Protected SP10T Switch in SOI CMOS
2013 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference, S3S 2013, 2013, article number 6716560
Wang, X. Shawn; Wang, Xin; Dong, Zongyu; Lu, Fei; Wang, Li; Ma, Rui; Zhang, Chen; Wang, Albert; Yue, C. Patrick; Wang, Dawn; Joseph, Alvin Conference paper
Cost-effective and Eco-friendly LED System-on-a-Chip (SoC)
2013 10th China International Forum on Solid State Lighting, ChinaSSL 2013, 2013, article number 7177356, p. 235-238
Lau, Kei May; Choi, Hoiwai; Ki, Wing-Hung; Lee, Ricky Shi Wei; Mok, Philip Kwok Tai; Sin, Johnny Kin On; Yue, Chik Patrick Conference paper
Integrated CMOS Photodetectors for Short-range Optical Communication
IEEE Conference on Electron Devices and Solid-State Circuits, v. 2013, 2013, article number 6628147
Hou, Zhengxiong; Pan, Quan; Li, Yu; Feng, Shaoqi; Poon, Wing On; Yue, Patrick Conference paper
Modeling of an Inductive Link for Wireless Power Applications
IEEE Conference on Electron Devices and Solid-State Circuits, v. 2013, 2013, article number 6628105
Raju, Salahuddin; Chan, Mansun; Yue, Chik Patrick Conference paper
Predicting Key Parameters of Inductive Power Links
10th International Workshop on Compact Modeling, Yokohama, Japan, 22 January, 2013
Raju, Salahuddin; Yue, C. Patrick; Chan, Mansun Conference paper

2012 9

A 25–28Gbps clock and data recovery system with embedded equalization in 65-nm CMOS
2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology Proceedings (ICSICT 2012), Xian, China, 2012, p.960-963
Sun, Li; Pan, Alex; Wang, Keh Chung; Yue, Patrick C. Article
A Performance Study of Layout and Vt Options for Low Noise Amplifier Design in 65-nm CMOS
Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium, Montreal, Canada, 2012, p. 535-538
Pan, Quan; Yeh, Tzu-Jin; Jou, Chewnpu; Hsueh, Fu-Lung; Luong, Howard Cam; Yue, Chik Patrick Article
A Design Technique Overview on Broadband RF ESD Protection Circuit Designs
IEEE 55th International Midwest Symposium on Circuits & Systems (MWSCAS), Boise, Idaho, USA, 5-8 Aug 2012
Wang, Li; Ma, Rui; Wang, Albert; Wang, Xiao; Yue, C. Patrick; Wang, Xin; Zhao, Bin; Shi, Zitao; Cheng, Yuhua Conference paper
A Near-Threshold, Multi-Node, Wireless Body Area Sensor Network Powered by RF Energy Harvesting
IEEE Custom Integrated Circuits Conference (CICC), San Jose, CA, USA. September 2012
Cheng, Jiao; Xia, Lingli; Ma, Chao; Lian, Yong; Xu, Xiaoyuan; Yue, C. Patrick; Hong, Zhiliang; Chiang, Patrick Y. Conference paper
A novel GaN -based Monolithic SAW/HEMT Oscillator on Silicon
Ultrasonics Symposium (IUS), 2012 IEEE International, Dresden, 2012, p. 2206-2209
Lu, Xing; Ma, Jun; Zhu, Xueliang; Lee, Chi Ming; Yue, Chik Patrick; Lau, Kei May Conference paper
Input-Adaptive Dual-Output Power Management Unit for Energy Harvesting Devices
Midwest Symposium on Circuits and Systems, 2012, p. 1080-1083
Lu, Yan; Ki, Wing-Hung; Yue, C. Patrick Conference paper
Modeling of mutual inductance for planar inductors used in inductive link applications
2012 IEEE International Conference on Electron Devices and Solid State Circuit, EDSSC 2012, Bangkok, 2012, p. 1-2
Raju, Salahuddin; Wu, Rongxiang; Chan, Mansun; Yue, Chik Patrick Conference paper
The evolution of fabless IC industry in China: Past, present, and future
VLSI Design, Automation, and Test (VLSI-DAT), 2012 International Symposium on Hsinchu, 23-25 April 2012, p. 1
Ko, Ping K.; Yue, Patrick C. Conference paper
Wireless power link design using silicon-embedded inductors for brain-machine interface
2012 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2012 - Proceedings of Technical Papers, Taiwan, April 23-25, 2012, p. 1-4
Wu, Rongxiang; Raju, Salahuddin; Chan, Man Sun; Sin, Johnny Kin On; Yue, Chik Patrick Conference paper

2011 3

Bias correction for estimated QTL effects using the penalized maximum likelihood method
Heredity, v. 108, (4), April 2012, p. 396-402
Zhang, J.; Yue, C. Patrick; Zhang, Y.M. Article
Foreword
VLSI Design, Automation and Test (VLSI-DAT), 2011 International Symposium on Hsinchu, 2011, April, pp 1
Jou, Shye Jye; Yue, Patrick C. Article
Trends and outlook of wireless I/O's for short-range connectivity and beyond
Radio-Frequency Integration Technology (RFIT), 2011 IEEE International Symposium, Beijing, China, Nov 30-Dec 2 2011, 2011, p. 33-36
Jung, Byunghoo; Yue, Patrick Chik Conference paper

2010 3

A fully integrated transmitter with embedded antenna for on-wafer wireless testing
IEEE Transactions on Microwave Theory and Techniques, v. 58, (5), 2010, May, p. 1456-1463
Park, P.; Chen, L.; Yu, H.K.; Yue, C.P. Article
Design challenges for sense amplifier and wireless link in high-density neural recording implants
Proceedings of 2010 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2010, 2010, p. 61-64
Elzeftawi, M.N.; Yue, C.P.; Theogarajan, L. Conference paper
RFIC panel sessions
Radio Frequency Integrated Circuits Symposium (RFIC), 2010 IEEE, Anaheim, CA, 2010, May, p. 1-3
Yong, S.K.; Hattig, Myron; Yue, Patrick Chik Conference paper

2009 6

High-linearity CMOS T/R switch design above 20 GHz using asymmetrical topology and AC-floating bias
IEEE Transactions on Microwave Theory and Techniques, v. 57, (4), 2009, p. 948-956
Park, P.; Shin, D.H.; Yue, C.P. Article
A 1.1V 150GHz amplifier with 8dB Gain and +6dBm saturated output power in standard digital 65nm CMOS using dummy-prefilled microstrip lines
Digest of technical papers - IEEE International Solid-State Circuits Conference, 2009
Seo, M.; Jagannathan, B.; Carta, C.; Pekarik, J.; Chen, L.; Yue, C.P.; Rodwell, M. Conference paper
A 1-mW 12-Gb/s continuous-time adaptive passive equalizer in 90-nm CMOS
IEEE Custom Integrated Circuits Conference (CICC), 2009, p. 117-120
Shin, D.H.; Jang, J.E.; O'Mahony, F.; Yue, C.P. Conference paper
A 200-Mb/s 10-mW super-regenerative receiver at 60 GHz
2009 International Symposium on VLSI Design, Automation and Test, VLSI-DAT '09, 2009, p. 315-318
Liang, K.H.; Chen, L.; Yue, C.P. Conference paper
On-wafer wireless testing and mismatch monitoring using RF transmitters with integrated antennas
IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 2009, p. 505-508
Piljae, P.; Chen, L.; Wang, L.; Long, S.; Hyun, K.Y.; Yue, C.P. Conference paper
RPM-A: Who will win the battle for the Gigabit Wireless in your home: WirelessHD, 802.11 n, wireless USB, or UWB?
Radio Frequency Integrated Circuits Symposium, 2009. RFIC 2009. IEEE, Boston, MA, USA, 7-9 June 2009, pp cxlvii-cxlvii
Yue, Patrick C.; Albert, Jerng Conference paper

2008 9

A 1-V, 1.4-2.5 GHz charge-pump-less PLL for a phase interpolator based CDR
IEEE Custom Integrated Circuits Conference (CICC), 2008, p. 281-284
Park, J.; Liu, J.F.; Carley, L.R.; Yue, C.P. Conference paper
A 60GHz line-of-sight 2×2 MIMO link operating at 1.2Gbps
2008 IEEE International Symposium on Antennas and Propagation and USNC/URSI National Radio Science Meeting, APSURSI, 2008
Sheldon, C.; Torkildson, E.; Munkyo, S.; Yue, C.P.; Madhow, U.; Rodwell, M. Conference paper
A feasibility study of on-wafer wireless testing
2008 International Symposium on VLSI Design, Automation, and Test, VLSI-DAT, 2008, p. 299-302
Park, P.; Yue, C.P. Conference paper
A high-linearity, LC-tuned, 24-GHz T/R switch in 90-nm CMOS
IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 2008, p. 369-372
Park, P.; Shin, D.H.; Pekarik, J.J.; Rodwell, M.; Yue, C.P. Conference paper
Adaptive biasing circuit overcoming process variation for high-speed circuits in scaled CMOS technology
2008 International Symposium on VLSI Design, Automation, and Test, VLSI-DAT, 2008, p. 243-246
Chen, L.; Yue, C.P. Conference paper
Cell-based high-frequency IC design in scaled CMOS
International Conference on Solid-State and Integrated Circuits Technology Proceedings, ICSICT, 2008, p. 1452-1455
Yue, C.P.; Shin, D.H. Conference paper
Low-power feedback-enhanced electro-mechanical impedance (FEMI) sensors
Proceedings of SPIE, v. 6932, 2008, article number 693224
Jang, J.; Yue, Patrick Conference paper
Modeling of triple-well isolation and the loading effects on circuits up to 50 GHz
IEEE Custom Integrated Circuits Conference (CICC), 2008, p. 217-220
Park, P.; Yue, C.P. Conference paper
Spatial multiplexing over a line-of-sight millimeter-wave MIMO link: A two-channel hardware demonstration at 1.2Gbps over 41m range
Proceedings of the 1st European Wireless Technology Conference, EuWiT 2008, 2008, p. 198-201
Sheldon, C.; Torkildson, E.; Seo, M.; Yue, C.P.; Rodwell, M.; Madhow, U. Conference paper

2007 5

An Inductively Coupled Lamb Wave Transducer
IEEE Sensors Journal, v. 7, (2), February 2007, p. 295–301
Greve, D.W.; Sohn, H.; Yue, Patrick; Oppenheim, I.J. Article
A 1.2-V, 5.8-mW, ultra-wideband folded mixer in 0.13-μm CMOS
IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 2007, p. 489-492
Choi, K.; Shin, D.H.; Yue, C.P. Conference paper
A low-power, 3-5-GHz CMOS UWB LNA using transformer matching technique
2007 IEEE Asian Solid-State Circuits Conference, A-SSCC, 2007, p. 95-98
Shin, D.H.; Park, J.; Yue, C.P. Conference paper
Cell-based high-frequency ic design in scaled CMOS (Invited paper)
RFIT 2007 - IEEE International Workshop on Radio-Frequency Integration Technology, 2007, p. 195-200
Yue, C.P.; Dong, H.S. Conference paper
Cell-based mm-wave IC design in scaled CMOS
Radio-Frequency Integration Technology, 2007. RFIT 007. IEEE International Workshop on Singapore, 9-11 Dec. 2007, pp. nil19
Yue, Patrick Chik; Scholten, Andries Conference paper

2006 7

Low-Power, Parallel Interface with Continuous-Time Adaptive Passive Equalizer and Crosstalk Cancellation
Design of High-Speed Communications Circuits / Edited by Ramesh Harjani. New Jersey: World Scientific, 2006, p.459?476
Yue, Patrick; Park, Jaejin; Sun, Ruifeng; Carley, L. Rick; O'Mahony, Frank Book chapter
A tunable passive filter for low-power high-speed equalizers
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2006, p. 198-199
Sun, R.; Park, J.; O'Mahony, F.; Yue, C.P. Conference paper
A unified modeling and design methodology for RFICs using parameterized sub-circuit cells
IEEE Radio Frequency Integrated Circuits Symposium (RFIC), v. 2006, 2006, p. 369-372
Dong, H.S.; Yue, C.P. Conference paper
Active sensing with an inductively coupled (wireless) Lamb wave transducer
Fourth World Conference on Structural Control and Monitoring, San Diego, 2006
Greve, D.W.; Oppenheim, I.J.; Sohn, H.; Yue, Patrick C.; Boscha, A.H. Conference paper
An Inductively Coupled (Wireless) Lamb Wave Transducer
Third International Workshop on Advanced Smart Materials and Smart Structures Technology, May 2006
Greve, D.W.; Oppenheim, I.J.; Sohn, H.; Yue, Patrick Conference paper
Development of self-contained sensor skin for highway bridge monitoring
Proceedings of SPIE--the international society for optical engineering, v. 6174, 2006
Jang, J.; Liu, J.F.; Yue, C.P.; Sohn, H. Conference paper
Structural health monitoring with an inductively coupled (wireless) Lamb wave transducer
Third European workshop on structural health monitoring. Granada, Spain, 2006, July, P. 1364-1371
Greve, David; Oppenheim, Irving J.; Sohn, Hoon; Yue, Patrick Chik Conference paper

2005 9

Analysis and synthesis of on-chip spiral inductors
IEEE Transactions on Electron Devices, v. 52, (2), 2005, p. 176-182
Talwalkar, N.A.; Yue, C.P.; Wong, S.S. Article
Congratulations New Senior Members
IEEE Solid-State Circuits Society Newsletter, v. 10, (2), 2005, May, p. 8
Dan, Claudius; Ferlet-Cavrois, Veronique; Horng, Tzyy-Sheng; Ingelhag, Per; Kao, Minglai; Lidholm, Sverre U.; Linder, Bertil C.; Malcovati, Piero; Mar, Monte F.; Papavassiliou, Christos A.; Plant, David V.; Saavedra, Carlos E.; Sovero, Emilio A.; Svensson, Lars Georg; Swahn, Thomas G.; Van der Tang, Johan David; Yue, Patrick Chik Article
Experimental Evidence for Gyromagnetic Damping in Magnetic Heads Determined by Impedance Measurements up to 9 GHz
IEEE Transactions on Magnetics, v. 41, (10), October 2005, p. 2923–2925
Kaya, A.; Yue, Patrick; Bain, J.A. Article
Low-power, parallel interface with continuous-time adaptive passive equalizer and crosstalk cancellation
International journal of high speed electronics and systems, v. 15, (2), 2005, June, p 459-476
Yue, Patrick C.; Park, Jaejin; Sun, Ruifeng; Rick Carley, L.; O'Mahony, Frank O. Article
A 10-Gbps, 8-PAM parallel interface with crosstalk cancellation for future hard disk drive channel ICs
Proceedings - IEEE International Symposium on Circuits and Systems, 2005, p. 1162-1165
Park, J.; Sun, R.; Carley, L.R.; Yue, C.P. Conference paper
A low-power, 20-Gb/s continuous-time adaptive passive equalizer
Proceedings - IEEE International Symposium on Circuits and Systems, 2005, p. 920-923
Sun, R.; Park, J.; O'Mahony, F.; Yue, C.P. Conference paper
Magnetic Recording: Systems, Heads, Channels, Head-Disk Interference, Modeling-Experimental Evidence for Gyromagnetic Damping in Magnetic Heads Determined by Impedance Measurements Up to 9 GHz
IEEE Transactions on Magnetics, v. 41, (10), 2005, p. 2923-2925
Kaya, A.; Yue, Patrick C.; Bain, J.A. Conference paper
Scalability of RF CMOS
IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 2005, p. 53-56
Yue, C.P.; Wong, S.S. Conference paper
Tunable on-chip inductors up to 5 GHz using patterned permalloy laminations
Technical digest - International Electron Devices Meeting, v. 2005, 2005, p. 943-946
Salvia, J.; Bain, J.A.; Yue, C.P. Conference paper

2004 7

Integrated CMOS transmit-receive switch using LC-tuned substrate bias for 2.4-GHz and 5.2-GHz applications
IEEE Journal of Solid-State Circuits, v. 39, (6), 2004, p. 863-870
Talwalkar, N.A.; Yue, C.P.; Gan, H.; Wong, S.S. Article
Modeling and Optimization of Substrate Resistance for RF-CMOS
IEEE Transactions on Electron Devices, v. 51, (3), 2004, p. 421-426
Chang, R.T.; Yang, M.T.; Ho, P.P.C.; Wang, Y.J.; Chia, Y.T.; Liew, B.K.; Yue, C.P.; Wong, S.S. Article
An 800 mW 10 Gb Ethernet transceiver in 0.13μm CMOS
2004 IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, February 2004, p. 168?169
Sidiropoulos, S.; Acharya, N.; Chau, P.; Dao, J.; Feldman, A.; Liaw, H.J.; Loinaz, M.; Narayanaswami, R.S.; Portmann, C.; Rabii, S.; Salleh, A.; Sheth, S.; Thon, L.; Vleugels, K.; Yue, Patrick; Stark, D. Conference paper
Extraction and applications of on-chip interconnect inductance
International Conference on Solid-State and Integrated Circuits Technology Proceedings, ICSICT, v. 1, 2004, p. 142-146
Wong, S.S.; Kim, S.Y.; Yue, C.P.; Chang, R.; O'Mahony, F. Conference paper
Package and Test Environment Design for a 10 Gigabit Ethernet Transceiver
DesignCon, February 2004
Liaw, H.J.; Yue, Patrick; Emigh, R.; Shin, D.H. Conference paper
Realization of Digital Noise Emulator for Characterization of Systems Exposed to Substrate Noise
12th Workshop on Synthesis And System Integration of Mixed Information Technologies, October 2004
Lu, Y.C.; Kim, J.W.; Nakano, N.; Colleran, D.; Yue, Patrick; Dutton, R.W. Conference paper
WSL: ESD protection for RFIC's: Science or black magic?
Microwave Symposium Digest, 2004 IEEE MTT-S International, Fort Worth, TX, USA, v. 1, 2004, June
Yue, Patrick Chik; Wang, A. Conference paper

2003 8

A 10-GHz Global Clock Distribution Using Coupled Standing-Wave Oscillators
IEEE Journal of Solid-State Circuits, v. 38, (11), November 2003, p. 1813?1820
O'Mahony, F.; Yue, Patrick; Horowitz, M.A.; Wong, S.S. Article
Near speed-of-light signaling over on-chip electrical interconnects
Solid-State Circuits, IEEE Journal of Solid-State Circuits, v. 38, (5), 2003, May, pp 834-838
Chang, Richard T.; Talwalkar, Niranjan; Yue, C. Patrick; Wong, S. Simon Article
10GHz clock distribution using coupled standing-wave oscillators
Digest of technical papers - IEEE International Solid-State Circuits Conference, 2003, p. 421+428-429+504
O'Mahony, F.; Yue, C.P.; Horowitz, M.; Wong, S.S. Conference paper
An integrated 5.2GHz CMOS T/R switch with LC-tuned substrate bias
Digest of technical papers - IEEE International Solid-State Circuits Conference, 2003, p. 349+362-363+499
Talwalkar, N.; Yue, C.P.; Wong, S.S. Conference paper
Compact modeling of high frequency phenomena for on-chip spiral inductors
2003 Nanotechnology Conference and Trade Show - Nanotech 2003, v. 2, 2003, p. 360-363
Talwalkar, N.; Yue, C.P.; Wong, S.S. Conference paper
Compact Modeling of High Frequency Phenomena for Onchip Spiral Inductors
Sixth International Conference on Modeling and Simulation of Microsystems (ICMSM), February 2003
Talwalkar, N.; Yue, Patrick; Wong, S.S. Conference paper
Design of a 10GHz clock distribution network using coupled standing-wave oscillators
40th Design Automation Conference (DAC), June 2003, p. 682?687
O'Mahony, F.; Yue, Patrick; Horowitz, M.A.; Wong, S.S. Conference paper
On-Chip Interconnect Inductance - Friend or Foe
4th International Symposium on Quality Electronic Design (ISQED), San Jose, United States, 24-26 March 2003, p. 389?394, Article number 1194764
Wong, Simon; Yue, Patrick C.; Chang, Richard; Kim, Soyoung; Kleveland, Bendik; O'Mahony, Frank O. Conference paper

2002 3

A 5-GHz CMOS transceiver for IEEE 802.11a wireless LAN systems
IEEE Journal of Solid-State Circuits, v. 37, (12), 2002, p. 1688-1694
Zargari, M.; Su, D.K.; Yue, C.P.; Rabii, S.; Weber, D.; Kaczynski, B.J.; Mehta, S.S.; Singh, K.; Mendis, S.; Wooley, B.A. Conference paper
Near speed-of-light on-chip electrical interconnect
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, (CIRCUITS SYMP.), 2002, p. 18-21
Chang, R.T.; Yue, C.P.; Wong, S.S. Conference paper
On-chip RF isolation techniques
Proceedings of the IEEE Bipolar/BiCMOS Circuits and Technology Meeting, 2002, p. 205-211
Blalack, T.; Leclercq, Y.; Yue, C.P. Conference paper

2000 2

A fast 3-D modeling approach to electrical parameters extraction of bonding wires for RF circuits
IEEE Transactions on Advanced Packaging, v. 23, (3), 2000, p. 480-488
Qi, X.; Yue, C.P.; Arnborg, T.; Soh, H.T.; Sakai, H.; Yu, Z.; Dutton, R.W. Article
Physical Modeling of Spiral Inductors on Silicon
IEEE Transactions of Electron Devices, v. 47, (3), March 2000, p. 560–568
Yue, Patrick; Wong, S.S. Article

1999 4

Design strategy of on-chip inductors for highly integrated RF systems
DAC, 1999, p. 982-987
Yue, C. Patrick; Wong, S. Simon Article
Ultra-Low Resistance, Through-Wafer Via (TWV) Technology and Its Applications in Three Dimensional Structures on Silicon
Japanese Journal of Applied Physics, v. 38, pt. 1, no. 4B, April 1999, p. 2393–2396
Soh, Hyongsok T.; Yue, Patrick C.; McCarthy, Anthony M.; Ryu, Changsup; Lee, Thomas H.; Wong, S. Simon; Quate, Calvin F. Article
RF Passive IC Components
The VLSI Handbook / Edited by Wai-Kai Chen, CRC Press and IEEE Press, 1999
Lee, T.H.; Hershenson, M.M.; Mohan, S.S.; Samavati, H.; Yue, Patrick Book chapter
Study on substrate effects of silicon-based RF passive components
IEEE MTT-S International Microwave Symposium digest, v. 4, 1999, p. 1625-1628
Yue, C. Patrick; Wong, S. Simon Conference paper

1998 9

A 115-mW, 0.5-μm CMOS GPS Receiver with Wide Dynamic-Range Active Filters
IEEE Journal of Solid-State Circuits, v. 33, (12), December 1998, p. 2219–2231
Shaeffer, D.K.; Shahani, A.R.; Mohan, S.S.; Samavati, H.; Rategh, H.; Hershenson, M.M.; Xu, M.C.; Yue, Patrick; Eddleman, D.; Lee, T.H. Article
Low-Power Dividerless Frequency Synthesis Using Aperture Phase Detection
IEEE Journal of Solid-State Circuit, v. 33, (12), December 1998, p. 2232–2239
Shahani, A.R.; Shaeffer, D.K.; Mohan, S.S.; Samavati, H.; Rategh, H.; Hershenson, M.M.; Xu, M.; Yue, Patrick; Eddleman, D.; Lee, T.H. Article
On-Chip Spiral Inductors with Patterned Ground Shields for Si-Based RF ICs
IEEE Journal of Solid-State Circuits, v. 33, (5), May 1998, p. 743–752
Yue, Patrick; Wong, S.S. Article
115 mW CMOS GPS receiver
Digest of technical papers - IEEE International Solid-State Circuits Conference, 1998
Shaeffer, D.; Shahani, A.; Mohan, S.; Samavati, H.; Rategh, H.; Hershenson, M.; Xu, M.; Yue, C.; Eddleman, D.; Lee, T. Conference paper
Analysis and optimization of accumulation-mode varactor for RF
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 1998, p. 32-33
Soorapanth, Theerachet; Yue, C. Patrick; Shaeffer, Derek K.; Lee, Thomas H.; Wong, S. Simon Conference paper
Fast 3D modeling approach to parasitics extraction of bonding wires for RF circuits
Technical digest - International Electron Devices Meeting, 1998, p. 299-302
Qi, Xiaoning; Yue, C. Patrick; Arnborg, Torkel; Soh, Hyongsok T.; Yu, Zhiping; Dutton, Robert W.; Sakai, Hiroyuki Conference paper
Modeling and characterization of on-chip transformers
Technical digest - International Electron Devices Meeting, 1998, p. 531-534
Mohan, Sunderarajan S.; Yue, C. Patrick; Hershenson, Maria del Mar; Wong, S. Simon; Lee, Thomas H. Conference paper
Through-Wafer Vias (TWV) and their Applications in 3 Dimensional Structures
Proceedings of 1998 International Conference on Solid State Devices and Materials, v.12, 1998
Soh, H.T.; Yue, Patrick Chik; McCarthy, A.M.; Ryu, C.; Lee, T.H.; Quate, C.F. Conference paper
Ultra-Low Resistance, Through-Wafer Via (TWV) Technology and Its Applications in Three Dimensional Structures in Silicon
International Conference on Solid State Devices and Materials (SSDM), September 1998, p. 284–285
Soh, H.T.; Yue, Patrick; McCarthy, A.M.; Ryu, C.; Lee, T.H.; Quate, C.F. Conference paper

1997 1

On-chip spiral inductors with patterned ground shields for Si-based RF IC's
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 1997, p. 85-86
Yue, C. Patrick; Wong, S. Simon Conference paper

1996 2

Kinetics of Copper Drift in PECVD Dielectrics
IEEE Electron Device Letters, v. 17, (12), p. 549–551, December 1996
Loke, A.L.S.; Ryu, C.; Yue, Patrick; Cho, J.S.H.; Wong, S.S. Article
Physical model for planar spiral inductors on silicon
Technical digest - International Electron Devices Meeting, 1996, p. 155-158
Yue, C. Patrick; Ryu, Changsup; Lau, Jack; Lee, Thomas H.; Wong, S. Simon Conference paper

1993 1

Improved Universal MOSFET Electron Mobility Degradation Models for Circuit Simulation
IEEE TCAD of Integrated Circuits and Systems, v. 12, (10), October 1993, p. 1542–1545
Yue, Patrick; Agostinelli, V.M.; Yeric, G.M.; Tasch, A.F. Article





Article 6

A 0.25-0.4-V, Sub-0.11-mW/GHz, 0.15-1.6-GHz PLL Using an Offset Dual-Path Architecture with Dynamic Charge Pumps
IEEE Journal of Solid-State Circuits, v. 56, (6), June 2021, article number 9226441, p. 1871-1885
Zhang, Zhao; Zhu, Guang; Yue, Chik
A W-Band Single-Antenna FMCW Radar Transceiver With Adaptive Leakage Cancellation
IEEE Journal of Solid-State Circuits, v. 56, (6), June 2021, article number 9249019, p. 1655-1667
Kalantarimahmoudabadi, Milad; Wang, Li; Shirinabadi, Hossein; Ali, Fotowat-Ahmady; Yue, Chik
Arbitrarily Tilted Receiver Camera Correction and Partially Blocked LED Image Compensation for Indoor Visible Light Positioning
IEEE Sensors Journal, February 2021
Wang, Yiru; Hussain, Babar; Yue, Chik
Design and Analysis of Continuous-Mode Doherty Power Amplifier With Second Harmonic Control
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 68, (7), July 2021, article number 9324781, p. 2247-2251
Shi, Weimin; Wen, Shi; Peng, Jun; Feng, Linping; Gao, Yong; He, Songbai; Yue, Chik
Robust Robotic Localization using Visible Light Positioning and Inertial Fusion
IEEE Sensors Journal, 21 January 2021
Guan, Weipeng; Linyi, Huang; Hussain, Babar; Yue, Chik
Sensing and Cancellation Circuits for Mitigating EMI-Related Common Mode Noise in High-Speed PAM-4 Transmitter
IEEE Transactions On Circuit and System I-Regular Papers, v. 68, (11), 1 November 2021, p. 4545-4555
Azmat, Rehan; Wang, Li; Maqbool, Khawaja Qasim; Wang, Can; Yue, Chik

Conference paper 4

A 60-Gb/s 1.2-pJ/bit 1/4-Rate PAM4 Receiver with a-8-dB JTRAN 40-MHz 0.2-UIPP JTOL Clock and Data Recovery
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, v. 2021-June, June 2021, article number 9492377
Wang, Li; Zhang, Zhao; Yue, Chik
Efficient Training Convolutional Neural Networks on Edge Devices with Gradient-Pruned Sign-Symmetric Feedback Alignment
Lecture Notes in Electrical Engineering, v. 782, 2021, p. 13-22
Hong, Ziyang; Yue, Chik
High Precision Indoor Robot Localization Using VLC Enabled Smart Lighting
2021 Optical Fiber Communications Conference and Exhibition, OFC 2021 - Proceedings, June 2021, article number 9489849
Wang, Yiru; Guan, Weipeng; Hussain, Babar; Yue, Chik
Orthogonally Interweaved Data Encryption Method for Screen to Camera Communication
2021 Picture Coding Symposium, PCS 2021 - Proceedings, June 2021, article number 9477406, p. 251-255
Wang, Yiru; Yue, Chik





Article 7

A 0.65-V 12-16-GHz Sub-Sampling PLL With 56.4-fsrms Integrated Jitter and-256.4-dB FoM
IEEE Journal of Solid-State Circuits, v. 55, (6), June 2020, article number 8976116, p. 1665-1683
Zhang, Zhao; Zhu, Guang; Yue, Chik
A 28-Ghz 16-GB/S High Efficiency 16-QAM Transmitter in 65-nm Cmos
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 67, (6), June 2020, article number 8995796, p. 1835-1845
Meng, Xiangyu; Kalantarimahmoudabadi, Milad; Chi, Baoyong; Chen, Wenhua; Chen, Zhijian; Lin, Xiaoling; Yue, Chik
A 32-Gb/s 0.46-pJ/bit PAM4 CDR Using a Quarter-Rate Linear Phase Detector and a Self-Biased PLL-Based Multiphase Clock Generator
IEEE Journal of Solid-State Circuits, v. 55, (10), 10 July 2020, p. 2734-2746
Zhang, Zhao; Zhu, Guang; Wang, Can; Wang, Li; Yue, Chik
A 42-dB Omega 25-Gb/s CMOS Transimpedance Amplifier With Multiple-Peaking Scheme for Optical Communications
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 67, (1), January 2020, article number 8653329, p. 72-76
Pan, Quan; Wang, Yipeng; Yue, Patrick C.
A 75-Mb/s RGB PAM-4 Visible Light Communication Transceiver System with Pre-and Post-Equalization
Journal of Lightwave Technology, v. 39, (5), March 2021, article number 9244553, p. 1381-1390
Wang, Li; Wang, Xuanzheng; Kang, Jian; Yue, Chik
A Low-Power PAM4 Receiver With an Adaptive Variable-Gain Rectifier-Based Decoder
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 28, (10), October 2020, article number 9149838, p. 2099-2108
Pan, Quan; Wang, Li; Luo, Xiongshi; Yue, Chik
Compact Millimeter-Wave SPDT Switches and Wilkinson Power Combiners Implemented by LC-Based Spiral Transmission Lines
IEEE Transactions on Microwave Theory and Techniques, v. 69, (2), February 2021, article number 9295353, p. 1305-1315
Meng, Xiangyu; Yue, Chik

Conference paper 6

A Real-Time RGB PAM-4 Visible Light Communication System Based on a Transceiver Design with Pre-and Post-equalizations
Lecture Notes of the Institute for Computer Sciences, Social-Informatics and Telecommunications Engineering, v. 342, 2021, p. 265-270
Kang, Jian; Wang, Xuanzheng; Wang, Li; Wang, Can; Yue, Chik
A RGB LED PAM-4 Visible Light Communication Transmitter Based on a System Design with Equalization
Proceedings of 2020 IEEE International Conference on Artificial Intelligence and Computer Applications, ICAICA 2020, June 2020, article number 9182676, p. 798-801
Wang, Xuanzheng; Wang, Li; Jian, Kang; Wang, Can; Yue, Chik
A Single-Antenna W-Band FMCW Radar Front-End Utilizing Adaptive Leakage Cancellation
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 2020, February 2020, article number 9063129, p. 88-90
Kalantarimahmoudabadi, Milad; Shirinabadi, Hossein; Fotowat-Ahmadi, Ali; Yue, Chik
An RGB-LED Driver with Feed-Forward Equalization Used for PAM-4 Visible Light Communication
Lecture Notes of the Institute for Computer Sciences, Social-Informatics and Telecommunications Engineering, v. 342, 2021, p. 228-234
Xu, Bo; Wang, Li; Kang, Jian; Qui, Cong; Yue, Chik
Cdse/cds nanorod enhancement film for blue-laser based visible light communication systems
SID Symposium Digest of Technical Papers, v. 51, (1), 25 September 2020, article number 53-3, p. 781-783, Book 2: Session 53: Emerging Processes and Materials
Cheng, Chun Hin; Kang, Jian; Gupta, Swadesh Kumar; Yue, Chik; Kwok, Hoi Sing; Srivastava, Abhishek Kumar
Performance Analysis and Evaluation of Outdoor Visible Light Communication Reception
Lecture Notes of the Institute for Computer Sciences, Social-Informatics and Telecommunications Engineering, LNICST, v. 342, 2021, p. 235-241
Wang, Yiru; Xu, Bo; Kang, Jian; Qiu, Cong; Yue, Chik





Article 4

3 GHz, 1 mW Inverter-Based TIA with Capacitive Feedback for Enhanced Gain and Sensitivity in VLC Applications
Electronics Letters, v. 55, (8), April 2019, p. 469-471
Li, Xianbo; Yue, Chik
A Tunable Reflection/Transmission Coefficient Circuit Using a 45° Hybrid Coupler with Two Orthogonal Variables
IEEE Transactions on Microwave Theory and Techniques, v. 67, (4), April 2019, article number 8642516, p. 1402-1411
Kalantarimahmoudabadi, Milad; Meng, Xiangyu; Fotowat-Ahmady, Ali; Yue, Chik
Simultaneous Magnetic Resonance Wireless Power and High-Speed Data Transfer System with Cascaded Equalizer for Variable Channel Compensation
IEEE Transactions on Power Electronics, v. 34, (12), December 2019, p. 11594-11604
Wang, Li; Li, Xianbo; Raju, Salahuddin; Yue, Chik
Smart mu LED Display-VLC System With a PD-Based/Camera-Based Receiver for NFC Applications
IEEE Photonics Journal, v. 11, (1), February 2019, article number 7901008
Li, Xianbo; Hussain, Babar; Kang, Jian; Kwok, Hoi Sing; Yue, Chik

Conference paper 16

30.8 A 0.65V 12-to-16GHz Sub-Sampling PLL with 56.4fsrms Integrated Jitter and -256.4dB FoM
Digest of Technical Papers - IEEE International Solid-State Circuits Conference, v. 62, March 2019, article number 8662378, p. 488-490
Zhang, Zhao; Zhu, Guang; Yue, Chik
A 0.25-0.4V, Sub-0.11mW/GHz, 0.15-1.6GHz PLL Using an Offset Dual-Path Loop Architecture with Dynamic Charge Pumps
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, v. 2019-June, June 2019, article number 8778061, p. C158-C159
Zhang, Zhao; Zhu, Guang; Yue, Chik
A 12.5-Gb/s 4.8-mW Full-Rate CDR with Low-Power Sample-and-Hold Linear Phase Detector
Proceedings of 2018 IEEE International Conference on Integrated Circuits, Technologies and Applications, ICTA 2018, May 2019, article number 8706047, p. 96-97
Zhang, Zhao; Yue, Chik
A 24-32GHz gm-boosted LNA with Triple Coupling Input Transformer for 5G Applications
2019 IEEE MTT-S International Wireless Symposium, IWS 2019 - Proceedings, May 2019, article number 8804024
Wu, Xuan; Kalantarimahmoudabadi, Milad; Shin, Dong Hun; Yue, Chik
A 28-GHz Compact SPDT Switch Using LC-Based Spiral Transmission Lines in 65-nm CMOS
Proceedings - 2019 IEEE Asian Solid-State Circuits Conference, A-SSCC 2019 / IEEE. Macao : IEEE Asian Solid-State Circuits Conference (IEEE SSCC), 2019, p. 79-80, Article number 9056909
Meng, Xiangyu; Zheng, Zhenpeng; Zhang, Jiaqi; Yue, Patrick C.
A 32-Gb/s 0.46-pJ/bit PAM4 CDR Using a Quarter-Rate Linear Phase Detector and a Low-Power Multiphase Clock Generator
2019 IEEE Asian Solid-State Circuits Conference (A-SSCC): Proceedings of Technical papers / IEEE. Piscataway, New Jersey : IEEE, 2019, p. 241-242, Article number 9056913
Zhang, Zhao; Zhu, Guang; Wang, Can; Wang, Li; Yue, Chik
A 32-Gb/s 0.48-pJ/bit PAM4 CDR Using Low-Power Multiphase Clock Generator
2019 IEEE Asian Solid-State Circuits Conference (A-SSCC), Proceedings of Technical Papers / IEEE Asian Solid-State Circuits Conference (IEEE SSCC). Macao : IEEE Asian Solid-State Circuits Conference (IEEE SSCC), 2019, p. 241-242
Zhang, Zhao; Zhu, Guang; Wang, Can; Wang, Li; Yue, Chik Patrick
A 52-Gb/s Sub-1pJ/bit PAM4 Receiver in 40-nm CMOS for Low-Power Interconnects
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, v.2019-June, June 2019, article number 8778159, p. C274-C275
Wang, Can; Zhu, Guang; Zhang, Zhao; Yue, Chik
A Dual-Resonance Matching Circuit for Magnetic Resonance Wireless Power Transfer Systems
IEEE 8th Global Conference on Consumer Electronics, GCCE 2019 / IEEE. Piscataway, New Jersey : IEEE, 2019, p. 94-95
Wang, Li; Caroline, Tjoe; Xu, Bo; Yue, Chik
A Universal VLC Modulator for Retrofitting LED Lighting and Signage
IEEE 8th Global Conference on Consumer Electronics, GCCE 2019 / IEEE. Piscataway, New Jersey : IEEE, 2019, p. 1008-1009
Hussain, Babar; Qiu, Cong; Yue, Chik
An AC-Powered Smart LED Bulb for 3D Indoor Localization Using VLC
IEEE 8th Global Conference on Consumer Electronics, GCCE 2019 / IEEE. Piscataway, New Jersey : IEEE, 2019, p. 236-237
Xu, Bo; Liu, Zhaojun; Yue, Chik
Bluetooth Based Wireless Control for iBeacon and VLC Enabled Lighting
IEEE 8th Global Conference on Consumer Electronics, GCCE 2019 / IEEE. Piscataway, New Jersey : IEEE, 2019, p. 614-615
Qiu, Cong; Hussain, Babar; Yue, Chik
Compact Modeling of Laser Diode for Visible Laser Light Communication (VLLC)Systems
2018 Conference on Lasers and Electro-Optics Pacific Rim, CLEO-PR 2018, April 2019, article number 8700047
Wang, Can; Xu, Bo; Li, Xianbo; Wang, Li; Yue, Chik
Design of a Real-Time Visible Laser Light Communication System with Basedband in FPGA for High Definition video Transmission
IEEE 8th Global Conference on Consumer Electronics, GCCE 2019 / IEEE. Piscataway, New Jersey : IEEE, 2019, p. 179-180
Kang, Jian; Wang, Li; Yue, Chik
Smart Lighting Control and Services using Visible Light Communication and Bluetooth
IEEE 8th Global Conference on Consumer Electronics, GCCE 2019 / IEEE. Piscataway, New Jersey : IEEE, 2019, p. 1038-1039
Hussain, Babar; Qiu, Cong; Yue, Chik
Smart Table Applications Based on Magnetic Resonance Wireless Power Transfer
IEEE 8th Global Conference on Consumer Electronics, GCCE 2019 / IEEE. Piscataway, New Jersey : IEEE , 2019, p. 1045-1046
Wang, Li; Caroline, Tjoe; Kang, Jian; Yue, Chik





Article 4

A 26-Gb/s 0.31-pJ/bit Receiver With Linear Sampling Phase Detector for Data and Edge Equalization
IEEE Solid-State Circuits Letters, v. 1, (2), February 2018, p. 46-49
Zhu, Guang; Wang, Yipeng; Yue, Chik
A Full Ka-Band Power Amplifier With 32.9% PAE and 15.3-dBm Power in 65-nm CMOS
IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, v. 65, (9), September 2018, article number 8334593, p. 2657-2668
Jia, Haikun; Prawoto, Clarissa Cyrilla; Chi, Baoyong; Wang, Zhihua; Yue, Chik
Design of a 2.2-mW 24-Mb/s CMOS VLC Receiver SoC with Ambient Light Rejection and Post-Equalization for Li-Fi Applications
Journal of Lightwave Technology, v. 36, (12), June 2018, p. 2366-2375
Li, Xianbo; Hussain, Babar; Wang, Li; Jiang, Junmin; Yue, Chik
Study on the Effects of Distortions and Common-mode Noise in High-speed PAM-4 Systems
Electronics Letters, v. 54, (8), April 2018, p. 484-486
Luo, Duona; Kalantarimahmoudabadi, Milad; Yue, Chik

Conference paper 5

A 16-Gb/S 0-DB Power Back-Off 16-QAM Transmitter at 28 GHz in 65-NM CMOS
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2018-June, October 2018, article number 8502422, p. 217-218
Meng, Xiangyu; Wang, Can; Kalantarimahmoudabadi, Milad; Yue, Chik
Compact modeling of laser diode for visible laser light communication (VLLC) systems
Optics InfoBase Conference Papers, v. Part F113-CLEOPR 2018, 2018
Wang, Can; Xu, Bo; Li, Xianbo; Wang, Li; Yue, Chik
Micro-LED Display with Simultaneous Visible Light Communication Function
SID Symposium Digest of Technical Papers, v. 49, (1), May 2018, p. 876-879
Li, Xianbo; Cho, Wai Keung; Hussain, Babar; Kwok, Hoi Sing; Yue, Chik
Smart LCD Displays with Modulated LED Backlights for Li-Fi Enabled Applications
Optics InfoBase Conference Papers, v. Part F125-OPJ 2018, 2018
Hussain, Babar; Li, Xianbo; Lee, Chung Yung; Yue, Chik
Smart micro-LED display with synchronized information broadcast for enhanced user interaction
Proceedings of the International Display Workshops, v. 1, 2018, p. 421-424
Li, Xianbo; Hussain, Babar; Kang, Jian; Kwok, Hoi Sing; Yue, Chik





Article 2

A 60-GHz 4-Gb/s Fully Integrated NRZ-to-QPSK Fiber-Wireless Modulator
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 64, (3), March 2017, article number 7742997, p. 653-663
Wang, Yipeng; Luo, Duona; Pan, Quan; Jing, Liwen; Li, Zhixin; Yue, C. Patrick
EMI-related Common-mode (CM) Noise Analysis and Prediction of High-speed Source-series Terminated (SST) I/O Driver in System-on-package (SOP)
IEEE Transactions on Circuits and Systems-II: Express Briefs, 65, (99), April 2017, p. 446-450
Maqbool, Khawaja Qasim; Luo, Duona; Luo, Xingyun; Yu, Huichun; Yue, Patrick C.

Conference paper 18

A 16-Gb/s Millimeter-wave CMOS Transmitter with Integrated Optical Receiver for 5G Baseband-over-fiber Systems
Summer Topicals Meeting Series, SUM 2017, August 2017, article number 8012724, p. 209-210
Jia, Haikun; Zhu, Guang; Wang, Yipeng; Wang, Zhihua; Yue, C. Patrick
A 2.2-mW 24-Mb/s CMOS LiFi Receiver System-on-a-Chip with Ambient Light Rejection and Post-Equalization
30th Annual Conference of the IEEE Photonics Society, IPC 2017, v. 2017-January, November 2017, p. 29-30
Li, Xianbo; Hussain, Babar; Wang, Li; Jiang, Junmin; Yue,Patrick C.
A 26-Gb/s 8.1-mW receiver with linear sampling phase detector for data and edge equalization
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, August 2017, article number 8008526, p. C324-C325
Wang, Yipeng; Li, Zhixin; Zhuang, John; Zhi, Charlie; Yue, Chik
A 32.9% PAE, 15.3 dBm, 21.6–41.6 GHz power amplifier in 65nm CMOS using coupled resonators
2016 IEEE Asian Solid-State Circuits Conference (A-SSCC 2016), March 2017, p. 345-348
Jia, Haikun; Prawoto, Clarissa Cyrilla; Chi, Baoyong; Wang, Zhihua; Yue, Patrick C.
A Design Methodology of Efficient On-Chip Wireless Power Transmission
2017 International Symposium on VLSI Technology, Systems and Application, VLSI-TSA 2017, IEEE, 2017, Article number 7942476
Raju, Salahuddin; Prawoto, Clarissa Cyrilla; Chan, Man Sun; Yue, Patrick C
A Fully Adaptive Continuous-time Linear Equalizer for PAM4 Signaling Based on a Statistical Algorithm
EDSSC 2017 - 13th IEEE International Conference on Electron Devices and Solid-State Circuits, v. 2017-January, December 2017, p. 1-2
Zhu, Guang; Luo, Duona; Zhuang, John; Zhi, Charlie; Yue, Patrick C
A Low-Power PAM4 Receiver Using 1/4-Rate Sampling Decoder with Adaptive Variable-Gain Rectification
2017 IEEE Asian Solid-State Circuits Conference, A-SSCC 2017 - Proceedings, v. 2017-January, December 2017, p. 81-84
Zhu, Guang; Pan, Quan; Zhuang, John; Zhi, Charlie; Yue, Chik
A Micro-LED Driver with Bandwidth Expansion for Visible Light Communications
Optics InfoBase Conference Papers, v. Part F78-JSAP 2017, 2017
Sun, Liusheng; Hussain, Babar; Zhu, Guang; Yue, Patrick C
An Adaptive Threshold Decoding Algorithm for Visible Light Communication Data Recovery from LED-Based Display Systems
30th Annual Conference of the IEEE Photonics Society, IPC 2017, v. 2017-January, November 2017, p. 239-240
Sun, Liusheng; Li, Xianbo; Hussain, Babar; Yue, Chik Patrick
Analysis on EMI Related Common-mode Noise of Serdes Transmitter
DesignCon 2017, Santa Clara, CA, 31 January - 2 February 2017
Luo, Duona; Huang, Yintao; Maqbool, Khawaja Qasim; Yue, Patrick Chik; Yu, Huichun; Luo, Xingyun
Common-mode (CM) Noise Suppression of Serializer/deserializer (SERDES) Transmitters Based on Parallel Impedance Scaled Stages
EDSSC 2017 - 13th IEEE International Conference on Electron Devices and Solid-State Circuits, v. 2017-January, 1 December 2017, p. 1-2
Maqbool, Khawaja Qasim; Luo, Duona; Zhu, Guang; Yue, Patrick C.
Electromagnetic Interference Related Common Mode Noise Analysis for NRZ/PAM-4 Signals in Wireline Communication Link
EDSSC 2017 - 13th IEEE International Conference on Electron Devices and Solid-State Circuits, v. 2017-January, December 2017, p. 1-2
Luo, Duona; Zhu, Guang; Yue, Patrick C.
EMI Common-Mode (CM) Noise Suppression from Self-calibration of High-Speed SST Driver Using On-chip Process Monitoring Circuit
Proceedings - IEEE International Symposium on Circuits and Systems, September 2017, article number 8050879, p. 2359-2362
Maqbool, Khawaja Qasim; Luo, Duona; Zhu, Guang; Luo, Xingyun; Yu, Huichun; Yue, Patrick C.
Fully-Integrated AMLED Micro Display System With a Hybrid Voltage Regulator
2017 IEEE Asian Solid-State Circuits Conference, A-SSCC 2017 - Proceedings, v. 2017-January, December 2017, p. 277-280
Jiang, Junmin; Sun, Liusheng; Zhang, Xu; Yuen, Shing Hin; Li, Xianbo; Ki, Wing Hung; Yue, Patrick C.; Lau, Kei May
Li-Fi based Secure Programmable QR Code (LiQR)
Optics InfoBase Conference Papers, v. Part F78-JSAP 2017, 2017
Hussain, Babar; Lau, Carson; Yue, Patrick C
Modulation Optimization for Visible Laser Light Communication Systems
2017 IEEE Photonics Conference, Lake Buena Vista, Florida USA, 1-5 October 2017
Wang, Li; Hussain, Babar; Li, Xianbo; Yue, Patrick C.
Modulation Optimization for Visible Laser Light Communication Systems
30th Annual Conference of the IEEE Photonics Society, IPC 2017, v. 2017-January, November 2017, p. 301-302
Wang, Li; Hussain, Babar; Li, Xianbo; Yue, Chik
RF Signal Processing and Built-in-self-test Design in a 12.8-gb/s CMOS Baseband-over-fiber SoC with Integrated Photodetector
Optics InfoBase Conference Papers, v. Part F59-SPPCom 2017, 2017
Yue, Patrick C; Jia, Haikun; Zhu, Guang; Wang, Yipeng; Wang, Zhihua





Article 4

A Fully Integrated IEEE 802.15.7 Visible Light Communication Transmitter With On-Chip 8-W 85% Efficiency Boost LED Driver
Journal of Lightwave Technology, v. 34, (10), May 2016, p. 2419-2430
Che, Fengyu; Wu, Liang; Hussain, Babar; Li, Xianbo; Yue, Patrick C.
An 18-Gb/s Fully Integrated Optical Receiver With Adaptive Cascaded Equalizer
IEEE Journal of Selected Topics in Quantum Electronics, v. 22, (6), November-December, 2016, article number 6100509
Pan, Quan; Wang, Yipeng; Lu, Yan; Yue, Patrick C.
An NMOS-LDO Regulated Switched-Capacitor DC-DC Converter With Fast-Response Adaptive-Phase Digital Control
IEEE Transactions on Power Electronics, v. 31, (2), February 2016, p. 1294-1303
Lu, Yan; Ki, Wing Hung; Yue, Chik Patrick
Design and Characterization of Active Matrix LED Microdisplays with Embedded Visible Light Communication Transmitter
Journal of Lightwave Technology, v. 34, (14), July 2016, p. 3449-3457
Li, Xianbo; Wu, Liang; Liu, Zhaojun; Hussain, Babar; Chong, Wing Cheung; Lau, Kei May; Yue, Patrick C.

Conference paper 1

Fabrication and Measurement of Millimeter-Wave On-chip MIMO Antenna for CMOS RFIC's
Proceedings: 2016 IEEE MTT-S International Wireless Symposium (IWS), October 2016, p. 1-4
Jing, Liwen; Rowel, Corbett R.; Raju, Salahuddin; Chan, Man Sun; Murch, Ross David; Yue, Patrick C.





Article 4

A 30-Gb/s 1.37-pJ/b CMOS Receiver for Optical Interconnects
Journal of Lightwave Technology, v. 33, (4), February 2015, article number 6985584, p. 778-786
Pan, Quan; Wang, Yipeng; Hou, Zhengxiong; Sun, Li; Lu, Yan; Ki, Wing-Hung; Chiang, Patrick; Yue, Chik Patrick
A Fully-Integrated Low-Dropout Regulator With Full-Spectrum Power Supply Rejection
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 62, (3), March 2015, p. 707-716
Lu, Yan; Wang, Yipeng; Pan, Quan; Ki, Wing Hung; Yue, Patrick C.
China's IC Industrial Revolution
IEEE Solid-State Circuits Magazine, v. 7, (2), June 2015, p. 7-11
Yue, Patrick C.
Visible Light Communication System Design and Link Budget Analysis
Journal of Lightwave Technology, v. 33, (24), December 2015, article number 7323789, p. 5201-5209
Hussain, Babar; Li, Xianbo; Che, Fengyu; Yue, Patrick C.; Wu, Liang

Conference paper 11

A 123-Phase DC-DC Converter-Ring with Fast-DVS for Microprocessors
2015 IEEE International Solid-state Circuits Conference: Digest of Technical Papers, Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 364-365
Lu, Yan; Jiang, Junmin; Ki, Wing-Hung; Yue, Chik Patrick; Sin, Sai-Weng; U, Seng-pan; Martins, Rui Paulo
A 60GHz 4Gb/s fully integrated NRZ-to-QPSK modulator SoC for backhaul links in fiber-wireless networks
ESSCIRC Conference 2015 - 41st European Solid-State Circuits Conference (ESSCIRC 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 152-155
Wang, Yipeng; Luo, Duona; Pan, Quan; Jing, Liwen; Li, Zhixin; Yue, Patrick C.
A Fully Integrated IEEE 802.15.7 Visible Light Communication Transmitter with On-chip 8-W 85% Efficiency Boost LED Driver
2015 Symposium on VLSI Circuits (VLSI Circuits 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. C216-C217
Hussain, Babar; Che, Fengyu; Zhang, Feng; Yim, Tak-Sang; Cheng, Lin; Ki, Wing-Hung; Yue, Patrick Chik; Wu, Liang
An AMLED Microdisplay Driver SoC with Built-In 1.25-Mb/s VLC Transmitter
2015 Symposium on VLSI Circuits (VLSI Circuits 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. C328-C329
Wu, Liang; Li, Xianbo; Chong, Wing Cheung; Liu, Zhaojun; Che, Fengyu; Hussain, Babar; Lau, Kei May; Yue, Chik Patrick
Efficient On-Chip Wireless Power Transmission
2015 IEEE International Wireless Symposium (IWS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015
Raju, Salahuddin; Chan, Mansun; Yue, Patrick
Efficient wireless power transmission technology based on above-CMOS integrated (ACI) high quality inductors
2014 IEEE International Electron Devices Meeting (IEDM), February 2015, article number 7047038, p. 12.4.1-12.4.4
Raju, Salahuddin; Li, Xing; Lu, Yan; Tsui, Chi Ying; Ki, Wing Hung; Chan, Mansun; Yue, Chik Patrick
Link Budget Analysis for Visible Light Communication Systems
2015 IEEE International Wireless Symposium (IWS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015
Hussain, Babar; Che, Fengyu; Yue, Chik Patrick; Wu, Liang
Millimeter-Wave 4:1 Transformer-based Balun Design for CMOS RF IC’s
2015 IEEE International Wireless Symposium (IWS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015
Jing, Liwen; Li, Alvin Siu-chi; Luo, Duona; Rowell, Corbett R.; Yue, Patrick C.
Modeling of On-Chip Wireless Power Transmission System
2015 IEEE International Wireless Symposium (IWS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015
Raju, Salahuddin; Prawoto, Clarissa Cyrilla; Chan, Mansun; Yue, Chik Patrick
Recent Advancements in Visible Light Communication Modulator SoCs
2015 IEEE Summer Topicals Meeting Series (SUM 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 49-50
Yue, Patrick Chik; Wu, Liang; Li, Xianbo; Che, Fengyu; Hussain, Babar
Recent Developments in Transceiver SoC Design for Next Generation Optical Networks
2015 Asia-Pacific Microwave Conference (APMC 2015), IEEE, 2015
Yue, Patrick C.; Luo, Duona; Zhu, Guang; Wang, Yipeng; Maqbool, Khawaja Qasim; Li, Zhixin





Article 6

A 0.5-V P-Well/Deep N-Well Photodetector in 65-nm CMOS for Monolithic 850-nm Optical Receivers
IEEE Photonics Technology Letters, v. 26, (12), June 2014, article number 6799223, p. 1184-1187
Pan, Quan; Hou, Zhengxiong; Li, Yu; Poon, Andrew Wing On; Yue, Chik Patrick
A 26-28-Gb/s Full-Rate Clock and Data Recovery Circuit With Embedded Equalizer in 65-nm CMOS
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 61, (7), 2014, article number 6748989, p. 2139-2149
Sun, Li; Pan, Quan; Wang, Keh-Chung; Yue, Chik Patrick
A dual-band SP6T T/R switch in SOI CMOS with 37-dBm P-0.1 dB or GSM/W-CDMA Handsets
IEEE Transactions on Microwave Theory and Techniques, v. 62, (4), 2014, article number 6759762, p. 861-870
Wang, X. Shawn; Yue, Chik Patrick
Concurrent Design Analysis of High-Linearity SP10T Switch With 8.5 kV ESD Protection
IEEE Journal of Solid-State Circuits, v. 49, (9), 2014, article number 6850080, p. 1927-1941
Wang, X. Shawn; Wang, Xin; Lu, Fei; Zhang, Chen; Dong, Zongyu; Wang, Li; Ma, Rui; Shi, Zitao; Wang, Albert; Chang, Mau-Chung Frank; Wang, Dawn; Joseph, Alvin; Yue, Chik Patrick
Design and characterization of wireless power links for brain-machine interface applications
IEEE Transactions on Power Electronics, v. 29, (10), 2014, article number 6718154, p. 5462-5471
Wu, Rongxiang; Li, Wei; Luo, H.; Sin, Johnny Kin On; Yue, Chik Patrick
Modeling of Mutual Coupling Between Planar Inductors in Wireless Power Applications
IEEE Transactions on Power Electronics, v. 29, (1), 2014, article number 6482651, p. 481-490
Raju, Salahuddin; Wu, Rongxiang; Chan, Man Sun; Yue, Chik Patrick

Conference paper 12

17.11 A 0.65ns-response-time 3.01ps FOM Fully-integrated Low-dropout Regulator with Full-spectrum Power-supply-rejection for Wideband Communication Systems
2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), Institute of Electrical and Electronics Engineers (IEEE), 2014, p. 306-307
Lu, Yan; Ki, Wing-Hung; Yue, Chik Patrick
A 23-mW 30-Gb/s Digitally Programmable Limiting Amplifier for 100GbE Optical Receivers
Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium, USA : Institute of Electrical and Electronics Engineers Inc., 2014, p. 279-282
Hou, Zhengxiong; Pan, Quan; Wang, Yipeng; Wu, Liang; Yue, Chik Patrick
A 25Gbps, 2x-Oversampling CDR Using a Zero-Crossing Linearizing Phase Detector
Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium, USA : IEEE, 2014, p. 271-274
Wang, Zhongkai; Bai, Rui; Wang, Juncheng; Jing, Xing; Nan, Qi; Sun, Li; Yue, C. Patrick; Hong, Zhiliang; Chiang, Patrick Yin
A 3-mW 25-Gb/s CMOS Transimpedance Amplifier with Fully Integrated Low-dropout Regulator for 100GbE Systems
Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium, 2014, article number 6851718, p. 275-278
Wang, Yipeng; Lu, Yan; Pan, Quan; Hou, Zhengxiong; Wu, Liang; Ki, Winghung; Yue, Chik Patrick
A 41-mW 30-Gb/s CMOS optical receiver with digitally-tunable cascaded equalization
European Solid-State Circuits Conference, v. 2014, article number 6942038, p. 127-130
Pan, Quan; Wang, Yipeng; Hou, Zhengxiong; Sun, Li; Wu, Liang; Ki, Wing Hung; Chiang, Patrick; Yue, Chik Patrick
A 48-mW 18-Gb/s Fully Integrated CMOS Optical Receiver with Photodetector and Adaptive Equalizer
2014 Symposium on VLSI Circuits (VLSI-Circuits 2014), Institute of Electrical and Electronics Engineers (IEEE), 2014
Pan, Quan; Hou, Zhengxiong; Wang, Yipeng; Lu, Yan; Ki, Wing Hung; Wang, Keh Chung; Yue, Chik Patrick
Design and implementation of IEEE 802.15.7 VLC PHY-I transceiver
2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), 2014, article number 7021249
Che, Fengyu; Hussain, Babar; Wu, Liang; Yue, Chik Patrick
Differential stacked spiral inductor and transistor layout designs for broadband high-speed circuits
2014 IEEE International Symposium on Radio-Frequency Integration Technology (RFIT 2014), University of Science and Technology of China (USTC), Hefei, China, 27-30 August 2014
Pan, Quan; Sun, Li; Yue, Patrick Chik
Hardware and Software Co-design of Visible Light Indoor Positioning Systems for Robotics
2014 International Conference on Advanced Control, Automation and Robotics, Hong Kong, 2014
Che, Fengyu; Hussain, Babar; Qiu, Kejie; Liu, Ming; Wu, Liang; Yue, Chik Patrick
Integrated Magnetics for Eco-friendly LED System-on-a-Chip Applications
The 4th International Power Supply on Chip Workshop (PwrSoC2014), Boston, Massachusetts, USA, 6-8 October 2014
Fang, Xiangming; Sin, Johnny Kin On; Lau, Kei May; Yue, Chik Patrick; Mok, Philip Kwok Tai
Through Silicon Underfill Dispensing for 3D Die/Interposer Stacking
Proceedings - Electronic Components and Technology Conference, September 2014, article number 6897397, p. 919-924
Le, Fuliang; Lee, Ricky Shi-wei; Lau, Kei May; Yue, Chik Patrick; Sin, Johnny Kin On; Mok, Philip Kwok Tai; Ki, Wing Hung; Choi, Hoi Wai
Towards Indoor Localization Using Visible Light Communication for Consumer Electronic Devices
2014 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS 2014), Institute of Electrical and Electronics Engineers (IEEE), 2014, p. 143-148
Liu, Ming; Qiu, Kejie; Che, Fengyu; Li, Shaohua; Hussain, Babar; Wu, Liang; Yue, Patrick C.





Article 4

A +/- 0.5% Precision On-Chip Frequency Reference With Programmable Switch Array for Crystal-Less Applications
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 60, (10), 2013, article number 6584791, p. 642-646
Lu, Yan; Yuan, Gang; Der, Lawrence; Ki, Wing-Hung; Yue, Chik Patrick
A GaN-Based Lamb-Wave Oscillator on Silicon for High-Temperature Integrated Sensors
IEEE Microwave and Wireless Components Letters, v. 23, (6), 2013, article number 6514898, p. 318-320
Lu, Xing; Ma, Jun; Yue, Chik Patrick; Lau, Kei May
High-Q Backside Silicon-Embedded Inductor for Power Applications in μH and MHz Range
IEEE Transactions on Electron Devices, v. 60, (1), January 2013, article number 6353195, p. 339-345
Wu, Rongxiang; Sin, Johnny Kin On; Yue, Patrick Chik
Silicon-Embedded Receiving Coil for High-Efficiency Wireless Power Transfer to Implantable Biomedical ICs
IEEE Electron Device Letters, v. 34, (1), 2013, article number 6365746, p. 9-11
Wu, Rongxiang; Raju, Salahuddin; Chan, Man Sun; Sin, Johnny Kin On; Yue, Chik Patrick

Conference paper 10

A 13.56MHz fully integrated 1X/2X active rectifier with compensated bias current for inductively powered devices
Digest of Technical Papers - 2013 60th IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 17 February 2013 - 21 February 2013
Lu, Yan; Li, Xing; Ki, Wing-Hung; Tsui, Chiying; Yue, Patrick Chik
A 25-28 Gbps Clock and Data Recovery System with Embedded Equalization in 65-nm CMOS
International Solid-State Circuits Conference Student Research Preview 2013, San Francisco, USA, 2013
Sun, Li; Pan, Quan; Wang, Yipeng; Hou, Zhengxiong; Lu, Yan; Yue, Chik Patrick
A 25-Gb/s 32.1-dB CMOS Limiting Amplifier for Integrated Optical Receivers
2013 IEEE 10th International Conference on ASIC (ASICON 2013), Institute of Electrical and Electronics Engineers (IEEE), 2013
Hou, Zhengxiong; Wang, Yipeng; Pan, Quan; Yue, C. Patrick
A 65-nm CMOS P-well/Deep N-well Avalanche Photodetector for Integrated 850-nm Optical
2013 IEEE 10th International Conference on ASIC (ASICON 2013), Institute of Electrical and Electronics Engineers (IEEE), 2013
Pan, Quan; Hou, Zhengxiong; Wang, Yipeng; Yue, Chik Patrick
A Smartphone SP10T T/R Switch in 180-nm SOI CMOS with 8kV? ESD Protection by Co-Design
Proceedings of the Custom Integrated Circuits Conference, November 2013, article number 6658474
Wang, Xiao Shawn; Wang, Xin; Lu, Fei; Wang, Li; Ma, Rui; Dong, Zongyu; Sun, Li; Wang, Albert; Yue, Chik Patrick; Wang, Dawn; Joseph, Alvin
Concurrent Design Analysis of A 8500V ESD-Protected SP10T Switch in SOI CMOS
2013 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference, S3S 2013, 2013, article number 6716560
Wang, X. Shawn; Wang, Xin; Dong, Zongyu; Lu, Fei; Wang, Li; Ma, Rui; Zhang, Chen; Wang, Albert; Yue, C. Patrick; Wang, Dawn; Joseph, Alvin
Cost-effective and Eco-friendly LED System-on-a-Chip (SoC)
2013 10th China International Forum on Solid State Lighting, ChinaSSL 2013, 2013, article number 7177356, p. 235-238
Lau, Kei May; Choi, Hoiwai; Ki, Wing-Hung; Lee, Ricky Shi Wei; Mok, Philip Kwok Tai; Sin, Johnny Kin On; Yue, Chik Patrick
Integrated CMOS Photodetectors for Short-range Optical Communication
IEEE Conference on Electron Devices and Solid-State Circuits, v. 2013, 2013, article number 6628147
Hou, Zhengxiong; Pan, Quan; Li, Yu; Feng, Shaoqi; Poon, Wing On; Yue, Patrick
Modeling of an Inductive Link for Wireless Power Applications
IEEE Conference on Electron Devices and Solid-State Circuits, v. 2013, 2013, article number 6628105
Raju, Salahuddin; Chan, Mansun; Yue, Chik Patrick
Predicting Key Parameters of Inductive Power Links
10th International Workshop on Compact Modeling, Yokohama, Japan, 22 January, 2013
Raju, Salahuddin; Yue, C. Patrick; Chan, Mansun





Article 2

A 25–28Gbps clock and data recovery system with embedded equalization in 65-nm CMOS
2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology Proceedings (ICSICT 2012), Xian, China, 2012, p.960-963
Sun, Li; Pan, Alex; Wang, Keh Chung; Yue, Patrick C.
A Performance Study of Layout and Vt Options for Low Noise Amplifier Design in 65-nm CMOS
Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium, Montreal, Canada, 2012, p. 535-538
Pan, Quan; Yeh, Tzu-Jin; Jou, Chewnpu; Hsueh, Fu-Lung; Luong, Howard Cam; Yue, Chik Patrick

Conference paper 7

A Design Technique Overview on Broadband RF ESD Protection Circuit Designs
IEEE 55th International Midwest Symposium on Circuits & Systems (MWSCAS), Boise, Idaho, USA, 5-8 Aug 2012
Wang, Li; Ma, Rui; Wang, Albert; Wang, Xiao; Yue, C. Patrick; Wang, Xin; Zhao, Bin; Shi, Zitao; Cheng, Yuhua
A Near-Threshold, Multi-Node, Wireless Body Area Sensor Network Powered by RF Energy Harvesting
IEEE Custom Integrated Circuits Conference (CICC), San Jose, CA, USA. September 2012
Cheng, Jiao; Xia, Lingli; Ma, Chao; Lian, Yong; Xu, Xiaoyuan; Yue, C. Patrick; Hong, Zhiliang; Chiang, Patrick Y.
A novel GaN -based Monolithic SAW/HEMT Oscillator on Silicon
Ultrasonics Symposium (IUS), 2012 IEEE International, Dresden, 2012, p. 2206-2209
Lu, Xing; Ma, Jun; Zhu, Xueliang; Lee, Chi Ming; Yue, Chik Patrick; Lau, Kei May
Input-Adaptive Dual-Output Power Management Unit for Energy Harvesting Devices
Midwest Symposium on Circuits and Systems, 2012, p. 1080-1083
Lu, Yan; Ki, Wing-Hung; Yue, C. Patrick
Modeling of mutual inductance for planar inductors used in inductive link applications
2012 IEEE International Conference on Electron Devices and Solid State Circuit, EDSSC 2012, Bangkok, 2012, p. 1-2
Raju, Salahuddin; Wu, Rongxiang; Chan, Mansun; Yue, Chik Patrick
The evolution of fabless IC industry in China: Past, present, and future
VLSI Design, Automation, and Test (VLSI-DAT), 2012 International Symposium on Hsinchu, 23-25 April 2012, p. 1
Ko, Ping K.; Yue, Patrick C.
Wireless power link design using silicon-embedded inductors for brain-machine interface
2012 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2012 - Proceedings of Technical Papers, Taiwan, April 23-25, 2012, p. 1-4
Wu, Rongxiang; Raju, Salahuddin; Chan, Man Sun; Sin, Johnny Kin On; Yue, Chik Patrick





Article 2

Bias correction for estimated QTL effects using the penalized maximum likelihood method
Heredity, v. 108, (4), April 2012, p. 396-402
Zhang, J.; Yue, C. Patrick; Zhang, Y.M.
Foreword
VLSI Design, Automation and Test (VLSI-DAT), 2011 International Symposium on Hsinchu, 2011, April, pp 1
Jou, Shye Jye; Yue, Patrick C.

Conference paper 1

Trends and outlook of wireless I/O's for short-range connectivity and beyond
Radio-Frequency Integration Technology (RFIT), 2011 IEEE International Symposium, Beijing, China, Nov 30-Dec 2 2011, 2011, p. 33-36
Jung, Byunghoo; Yue, Patrick Chik





Article 1

A fully integrated transmitter with embedded antenna for on-wafer wireless testing
IEEE Transactions on Microwave Theory and Techniques, v. 58, (5), 2010, May, p. 1456-1463
Park, P.; Chen, L.; Yu, H.K.; Yue, C.P.

Conference paper 2

Design challenges for sense amplifier and wireless link in high-density neural recording implants
Proceedings of 2010 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2010, 2010, p. 61-64
Elzeftawi, M.N.; Yue, C.P.; Theogarajan, L.
RFIC panel sessions
Radio Frequency Integrated Circuits Symposium (RFIC), 2010 IEEE, Anaheim, CA, 2010, May, p. 1-3
Yong, S.K.; Hattig, Myron; Yue, Patrick Chik





Article 1

High-linearity CMOS T/R switch design above 20 GHz using asymmetrical topology and AC-floating bias
IEEE Transactions on Microwave Theory and Techniques, v. 57, (4), 2009, p. 948-956
Park, P.; Shin, D.H.; Yue, C.P.

Conference paper 5

A 1.1V 150GHz amplifier with 8dB Gain and +6dBm saturated output power in standard digital 65nm CMOS using dummy-prefilled microstrip lines
Digest of technical papers - IEEE International Solid-State Circuits Conference, 2009
Seo, M.; Jagannathan, B.; Carta, C.; Pekarik, J.; Chen, L.; Yue, C.P.; Rodwell, M.
A 1-mW 12-Gb/s continuous-time adaptive passive equalizer in 90-nm CMOS
IEEE Custom Integrated Circuits Conference (CICC), 2009, p. 117-120
Shin, D.H.; Jang, J.E.; O'Mahony, F.; Yue, C.P.
A 200-Mb/s 10-mW super-regenerative receiver at 60 GHz
2009 International Symposium on VLSI Design, Automation and Test, VLSI-DAT '09, 2009, p. 315-318
Liang, K.H.; Chen, L.; Yue, C.P.
On-wafer wireless testing and mismatch monitoring using RF transmitters with integrated antennas
IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 2009, p. 505-508
Piljae, P.; Chen, L.; Wang, L.; Long, S.; Hyun, K.Y.; Yue, C.P.
RPM-A: Who will win the battle for the Gigabit Wireless in your home: WirelessHD, 802.11 n, wireless USB, or UWB?
Radio Frequency Integrated Circuits Symposium, 2009. RFIC 2009. IEEE, Boston, MA, USA, 7-9 June 2009, pp cxlvii-cxlvii
Yue, Patrick C.; Albert, Jerng





Conference paper 9

A 1-V, 1.4-2.5 GHz charge-pump-less PLL for a phase interpolator based CDR
IEEE Custom Integrated Circuits Conference (CICC), 2008, p. 281-284
Park, J.; Liu, J.F.; Carley, L.R.; Yue, C.P.
A 60GHz line-of-sight 2×2 MIMO link operating at 1.2Gbps
2008 IEEE International Symposium on Antennas and Propagation and USNC/URSI National Radio Science Meeting, APSURSI, 2008
Sheldon, C.; Torkildson, E.; Munkyo, S.; Yue, C.P.; Madhow, U.; Rodwell, M.
A feasibility study of on-wafer wireless testing
2008 International Symposium on VLSI Design, Automation, and Test, VLSI-DAT, 2008, p. 299-302
Park, P.; Yue, C.P.
A high-linearity, LC-tuned, 24-GHz T/R switch in 90-nm CMOS
IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 2008, p. 369-372
Park, P.; Shin, D.H.; Pekarik, J.J.; Rodwell, M.; Yue, C.P.
Adaptive biasing circuit overcoming process variation for high-speed circuits in scaled CMOS technology
2008 International Symposium on VLSI Design, Automation, and Test, VLSI-DAT, 2008, p. 243-246
Chen, L.; Yue, C.P.
Cell-based high-frequency IC design in scaled CMOS
International Conference on Solid-State and Integrated Circuits Technology Proceedings, ICSICT, 2008, p. 1452-1455
Yue, C.P.; Shin, D.H.
Low-power feedback-enhanced electro-mechanical impedance (FEMI) sensors
Proceedings of SPIE, v. 6932, 2008, article number 693224
Jang, J.; Yue, Patrick
Modeling of triple-well isolation and the loading effects on circuits up to 50 GHz
IEEE Custom Integrated Circuits Conference (CICC), 2008, p. 217-220
Park, P.; Yue, C.P.
Spatial multiplexing over a line-of-sight millimeter-wave MIMO link: A two-channel hardware demonstration at 1.2Gbps over 41m range
Proceedings of the 1st European Wireless Technology Conference, EuWiT 2008, 2008, p. 198-201
Sheldon, C.; Torkildson, E.; Seo, M.; Yue, C.P.; Rodwell, M.; Madhow, U.





Article 1

An Inductively Coupled Lamb Wave Transducer
IEEE Sensors Journal, v. 7, (2), February 2007, p. 295–301
Greve, D.W.; Sohn, H.; Yue, Patrick; Oppenheim, I.J.

Conference paper 4

A 1.2-V, 5.8-mW, ultra-wideband folded mixer in 0.13-μm CMOS
IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 2007, p. 489-492
Choi, K.; Shin, D.H.; Yue, C.P.
A low-power, 3-5-GHz CMOS UWB LNA using transformer matching technique
2007 IEEE Asian Solid-State Circuits Conference, A-SSCC, 2007, p. 95-98
Shin, D.H.; Park, J.; Yue, C.P.
Cell-based high-frequency ic design in scaled CMOS (Invited paper)
RFIT 2007 - IEEE International Workshop on Radio-Frequency Integration Technology, 2007, p. 195-200
Yue, C.P.; Dong, H.S.
Cell-based mm-wave IC design in scaled CMOS
Radio-Frequency Integration Technology, 2007. RFIT 007. IEEE International Workshop on Singapore, 9-11 Dec. 2007, pp. nil19
Yue, Patrick Chik; Scholten, Andries





Book chapter 1

Low-Power, Parallel Interface with Continuous-Time Adaptive Passive Equalizer and Crosstalk Cancellation
Design of High-Speed Communications Circuits / Edited by Ramesh Harjani. New Jersey: World Scientific, 2006, p.459?476
Yue, Patrick; Park, Jaejin; Sun, Ruifeng; Carley, L. Rick; O'Mahony, Frank

Conference paper 6

A tunable passive filter for low-power high-speed equalizers
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2006, p. 198-199
Sun, R.; Park, J.; O'Mahony, F.; Yue, C.P.
A unified modeling and design methodology for RFICs using parameterized sub-circuit cells
IEEE Radio Frequency Integrated Circuits Symposium (RFIC), v. 2006, 2006, p. 369-372
Dong, H.S.; Yue, C.P.
Active sensing with an inductively coupled (wireless) Lamb wave transducer
Fourth World Conference on Structural Control and Monitoring, San Diego, 2006
Greve, D.W.; Oppenheim, I.J.; Sohn, H.; Yue, Patrick C.; Boscha, A.H.
An Inductively Coupled (Wireless) Lamb Wave Transducer
Third International Workshop on Advanced Smart Materials and Smart Structures Technology, May 2006
Greve, D.W.; Oppenheim, I.J.; Sohn, H.; Yue, Patrick
Development of self-contained sensor skin for highway bridge monitoring
Proceedings of SPIE--the international society for optical engineering, v. 6174, 2006
Jang, J.; Liu, J.F.; Yue, C.P.; Sohn, H.
Structural health monitoring with an inductively coupled (wireless) Lamb wave transducer
Third European workshop on structural health monitoring. Granada, Spain, 2006, July, P. 1364-1371
Greve, David; Oppenheim, Irving J.; Sohn, Hoon; Yue, Patrick Chik





Article 4

Analysis and synthesis of on-chip spiral inductors
IEEE Transactions on Electron Devices, v. 52, (2), 2005, p. 176-182
Talwalkar, N.A.; Yue, C.P.; Wong, S.S.
Congratulations New Senior Members
IEEE Solid-State Circuits Society Newsletter, v. 10, (2), 2005, May, p. 8
Dan, Claudius; Ferlet-Cavrois, Veronique; Horng, Tzyy-Sheng; Ingelhag, Per; Kao, Minglai; Lidholm, Sverre U.; Linder, Bertil C.; Malcovati, Piero; Mar, Monte F.; Papavassiliou, Christos A.; Plant, David V.; Saavedra, Carlos E.; Sovero, Emilio A.; Svensson, Lars Georg; Swahn, Thomas G.; Van der Tang, Johan David; Yue, Patrick Chik
Experimental Evidence for Gyromagnetic Damping in Magnetic Heads Determined by Impedance Measurements up to 9 GHz
IEEE Transactions on Magnetics, v. 41, (10), October 2005, p. 2923–2925
Kaya, A.; Yue, Patrick; Bain, J.A.
Low-power, parallel interface with continuous-time adaptive passive equalizer and crosstalk cancellation
International journal of high speed electronics and systems, v. 15, (2), 2005, June, p 459-476
Yue, Patrick C.; Park, Jaejin; Sun, Ruifeng; Rick Carley, L.; O'Mahony, Frank O.

Conference paper 5

A 10-Gbps, 8-PAM parallel interface with crosstalk cancellation for future hard disk drive channel ICs
Proceedings - IEEE International Symposium on Circuits and Systems, 2005, p. 1162-1165
Park, J.; Sun, R.; Carley, L.R.; Yue, C.P.
A low-power, 20-Gb/s continuous-time adaptive passive equalizer
Proceedings - IEEE International Symposium on Circuits and Systems, 2005, p. 920-923
Sun, R.; Park, J.; O'Mahony, F.; Yue, C.P.
Magnetic Recording: Systems, Heads, Channels, Head-Disk Interference, Modeling-Experimental Evidence for Gyromagnetic Damping in Magnetic Heads Determined by Impedance Measurements Up to 9 GHz
IEEE Transactions on Magnetics, v. 41, (10), 2005, p. 2923-2925
Kaya, A.; Yue, Patrick C.; Bain, J.A.
Scalability of RF CMOS
IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 2005, p. 53-56
Yue, C.P.; Wong, S.S.
Tunable on-chip inductors up to 5 GHz using patterned permalloy laminations
Technical digest - International Electron Devices Meeting, v. 2005, 2005, p. 943-946
Salvia, J.; Bain, J.A.; Yue, C.P.





Article 2

Integrated CMOS transmit-receive switch using LC-tuned substrate bias for 2.4-GHz and 5.2-GHz applications
IEEE Journal of Solid-State Circuits, v. 39, (6), 2004, p. 863-870
Talwalkar, N.A.; Yue, C.P.; Gan, H.; Wong, S.S.
Modeling and Optimization of Substrate Resistance for RF-CMOS
IEEE Transactions on Electron Devices, v. 51, (3), 2004, p. 421-426
Chang, R.T.; Yang, M.T.; Ho, P.P.C.; Wang, Y.J.; Chia, Y.T.; Liew, B.K.; Yue, C.P.; Wong, S.S.

Conference paper 5

An 800 mW 10 Gb Ethernet transceiver in 0.13μm CMOS
2004 IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, February 2004, p. 168?169
Sidiropoulos, S.; Acharya, N.; Chau, P.; Dao, J.; Feldman, A.; Liaw, H.J.; Loinaz, M.; Narayanaswami, R.S.; Portmann, C.; Rabii, S.; Salleh, A.; Sheth, S.; Thon, L.; Vleugels, K.; Yue, Patrick; Stark, D.
Extraction and applications of on-chip interconnect inductance
International Conference on Solid-State and Integrated Circuits Technology Proceedings, ICSICT, v. 1, 2004, p. 142-146
Wong, S.S.; Kim, S.Y.; Yue, C.P.; Chang, R.; O'Mahony, F.
Package and Test Environment Design for a 10 Gigabit Ethernet Transceiver
DesignCon, February 2004
Liaw, H.J.; Yue, Patrick; Emigh, R.; Shin, D.H.
Realization of Digital Noise Emulator for Characterization of Systems Exposed to Substrate Noise
12th Workshop on Synthesis And System Integration of Mixed Information Technologies, October 2004
Lu, Y.C.; Kim, J.W.; Nakano, N.; Colleran, D.; Yue, Patrick; Dutton, R.W.
WSL: ESD protection for RFIC's: Science or black magic?
Microwave Symposium Digest, 2004 IEEE MTT-S International, Fort Worth, TX, USA, v. 1, 2004, June
Yue, Patrick Chik; Wang, A.





Article 2

A 10-GHz Global Clock Distribution Using Coupled Standing-Wave Oscillators
IEEE Journal of Solid-State Circuits, v. 38, (11), November 2003, p. 1813?1820
O'Mahony, F.; Yue, Patrick; Horowitz, M.A.; Wong, S.S.
Near speed-of-light signaling over on-chip electrical interconnects
Solid-State Circuits, IEEE Journal of Solid-State Circuits, v. 38, (5), 2003, May, pp 834-838
Chang, Richard T.; Talwalkar, Niranjan; Yue, C. Patrick; Wong, S. Simon

Conference paper 6

10GHz clock distribution using coupled standing-wave oscillators
Digest of technical papers - IEEE International Solid-State Circuits Conference, 2003, p. 421+428-429+504
O'Mahony, F.; Yue, C.P.; Horowitz, M.; Wong, S.S.
An integrated 5.2GHz CMOS T/R switch with LC-tuned substrate bias
Digest of technical papers - IEEE International Solid-State Circuits Conference, 2003, p. 349+362-363+499
Talwalkar, N.; Yue, C.P.; Wong, S.S.
Compact modeling of high frequency phenomena for on-chip spiral inductors
2003 Nanotechnology Conference and Trade Show - Nanotech 2003, v. 2, 2003, p. 360-363
Talwalkar, N.; Yue, C.P.; Wong, S.S.
Compact Modeling of High Frequency Phenomena for Onchip Spiral Inductors
Sixth International Conference on Modeling and Simulation of Microsystems (ICMSM), February 2003
Talwalkar, N.; Yue, Patrick; Wong, S.S.
Design of a 10GHz clock distribution network using coupled standing-wave oscillators
40th Design Automation Conference (DAC), June 2003, p. 682?687
O'Mahony, F.; Yue, Patrick; Horowitz, M.A.; Wong, S.S.
On-Chip Interconnect Inductance - Friend or Foe
4th International Symposium on Quality Electronic Design (ISQED), San Jose, United States, 24-26 March 2003, p. 389?394, Article number 1194764
Wong, Simon; Yue, Patrick C.; Chang, Richard; Kim, Soyoung; Kleveland, Bendik; O'Mahony, Frank O.





Conference paper 3

A 5-GHz CMOS transceiver for IEEE 802.11a wireless LAN systems
IEEE Journal of Solid-State Circuits, v. 37, (12), 2002, p. 1688-1694
Zargari, M.; Su, D.K.; Yue, C.P.; Rabii, S.; Weber, D.; Kaczynski, B.J.; Mehta, S.S.; Singh, K.; Mendis, S.; Wooley, B.A.
Near speed-of-light on-chip electrical interconnect
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, (CIRCUITS SYMP.), 2002, p. 18-21
Chang, R.T.; Yue, C.P.; Wong, S.S.
On-chip RF isolation techniques
Proceedings of the IEEE Bipolar/BiCMOS Circuits and Technology Meeting, 2002, p. 205-211
Blalack, T.; Leclercq, Y.; Yue, C.P.





Article 2

A fast 3-D modeling approach to electrical parameters extraction of bonding wires for RF circuits
IEEE Transactions on Advanced Packaging, v. 23, (3), 2000, p. 480-488
Qi, X.; Yue, C.P.; Arnborg, T.; Soh, H.T.; Sakai, H.; Yu, Z.; Dutton, R.W.
Physical Modeling of Spiral Inductors on Silicon
IEEE Transactions of Electron Devices, v. 47, (3), March 2000, p. 560–568
Yue, Patrick; Wong, S.S.





Article 2

Design strategy of on-chip inductors for highly integrated RF systems
DAC, 1999, p. 982-987
Yue, C. Patrick; Wong, S. Simon
Ultra-Low Resistance, Through-Wafer Via (TWV) Technology and Its Applications in Three Dimensional Structures on Silicon
Japanese Journal of Applied Physics, v. 38, pt. 1, no. 4B, April 1999, p. 2393–2396
Soh, Hyongsok T.; Yue, Patrick C.; McCarthy, Anthony M.; Ryu, Changsup; Lee, Thomas H.; Wong, S. Simon; Quate, Calvin F.

Book chapter 1

RF Passive IC Components
The VLSI Handbook / Edited by Wai-Kai Chen, CRC Press and IEEE Press, 1999
Lee, T.H.; Hershenson, M.M.; Mohan, S.S.; Samavati, H.; Yue, Patrick

Conference paper 1

Study on substrate effects of silicon-based RF passive components
IEEE MTT-S International Microwave Symposium digest, v. 4, 1999, p. 1625-1628
Yue, C. Patrick; Wong, S. Simon





Article 3

A 115-mW, 0.5-μm CMOS GPS Receiver with Wide Dynamic-Range Active Filters
IEEE Journal of Solid-State Circuits, v. 33, (12), December 1998, p. 2219–2231
Shaeffer, D.K.; Shahani, A.R.; Mohan, S.S.; Samavati, H.; Rategh, H.; Hershenson, M.M.; Xu, M.C.; Yue, Patrick; Eddleman, D.; Lee, T.H.
Low-Power Dividerless Frequency Synthesis Using Aperture Phase Detection
IEEE Journal of Solid-State Circuit, v. 33, (12), December 1998, p. 2232–2239
Shahani, A.R.; Shaeffer, D.K.; Mohan, S.S.; Samavati, H.; Rategh, H.; Hershenson, M.M.; Xu, M.; Yue, Patrick; Eddleman, D.; Lee, T.H.
On-Chip Spiral Inductors with Patterned Ground Shields for Si-Based RF ICs
IEEE Journal of Solid-State Circuits, v. 33, (5), May 1998, p. 743–752
Yue, Patrick; Wong, S.S.

Conference paper 6

115 mW CMOS GPS receiver
Digest of technical papers - IEEE International Solid-State Circuits Conference, 1998
Shaeffer, D.; Shahani, A.; Mohan, S.; Samavati, H.; Rategh, H.; Hershenson, M.; Xu, M.; Yue, C.; Eddleman, D.; Lee, T.
Analysis and optimization of accumulation-mode varactor for RF
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 1998, p. 32-33
Soorapanth, Theerachet; Yue, C. Patrick; Shaeffer, Derek K.; Lee, Thomas H.; Wong, S. Simon
Fast 3D modeling approach to parasitics extraction of bonding wires for RF circuits
Technical digest - International Electron Devices Meeting, 1998, p. 299-302
Qi, Xiaoning; Yue, C. Patrick; Arnborg, Torkel; Soh, Hyongsok T.; Yu, Zhiping; Dutton, Robert W.; Sakai, Hiroyuki
Modeling and characterization of on-chip transformers
Technical digest - International Electron Devices Meeting, 1998, p. 531-534
Mohan, Sunderarajan S.; Yue, C. Patrick; Hershenson, Maria del Mar; Wong, S. Simon; Lee, Thomas H.
Through-Wafer Vias (TWV) and their Applications in 3 Dimensional Structures
Proceedings of 1998 International Conference on Solid State Devices and Materials, v.12, 1998
Soh, H.T.; Yue, Patrick Chik; McCarthy, A.M.; Ryu, C.; Lee, T.H.; Quate, C.F.
Ultra-Low Resistance, Through-Wafer Via (TWV) Technology and Its Applications in Three Dimensional Structures in Silicon
International Conference on Solid State Devices and Materials (SSDM), September 1998, p. 284–285
Soh, H.T.; Yue, Patrick; McCarthy, A.M.; Ryu, C.; Lee, T.H.; Quate, C.F.





Conference paper 1

On-chip spiral inductors with patterned ground shields for Si-based RF IC's
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 1997, p. 85-86
Yue, C. Patrick; Wong, S. Simon





Article 1

Kinetics of Copper Drift in PECVD Dielectrics
IEEE Electron Device Letters, v. 17, (12), p. 549–551, December 1996
Loke, A.L.S.; Ryu, C.; Yue, Patrick; Cho, J.S.H.; Wong, S.S.

Conference paper 1

Physical model for planar spiral inductors on silicon
Technical digest - International Electron Devices Meeting, 1996, p. 155-158
Yue, C. Patrick; Ryu, Changsup; Lau, Jack; Lee, Thomas H.; Wong, S. Simon





Article 1

Improved Universal MOSFET Electron Mobility Degradation Models for Circuit Simulation
IEEE TCAD of Integrated Circuits and Systems, v. 12, (10), October 1993, p. 1542–1545
Yue, Patrick; Agostinelli, V.M.; Yeric, G.M.; Tasch, A.F.





2016 5

A Fully Integrated IEEE 802.15.7 Visible Light Communication Transmitter With On-Chip 8-W 85% Efficiency Boost LED Driver
Journal of Lightwave Technology, v. 34, (10), May 2016, p. 2419-2430
Che, Fengyu; Wu, Liang; Hussain, Babar; Li, Xianbo; Yue, Patrick C. Article
An 18-Gb/s Fully Integrated Optical Receiver With Adaptive Cascaded Equalizer
IEEE Journal of Selected Topics in Quantum Electronics, v. 22, (6), November-December, 2016, article number 6100509
Pan, Quan; Wang, Yipeng; Lu, Yan; Yue, Patrick C. Article
An NMOS-LDO Regulated Switched-Capacitor DC-DC Converter With Fast-Response Adaptive-Phase Digital Control
IEEE Transactions on Power Electronics, v. 31, (2), February 2016, p. 1294-1303
Lu, Yan; Ki, Wing Hung; Yue, Chik Patrick Article
Design and Characterization of Active Matrix LED Microdisplays with Embedded Visible Light Communication Transmitter
Journal of Lightwave Technology, v. 34, (14), July 2016, p. 3449-3457
Li, Xianbo; Wu, Liang; Liu, Zhaojun; Hussain, Babar; Chong, Wing Cheung; Lau, Kei May; Yue, Patrick C. Article
Fabrication and Measurement of Millimeter-Wave On-chip MIMO Antenna for CMOS RFIC's
Proceedings: 2016 IEEE MTT-S International Wireless Symposium (IWS), October 2016, p. 1-4
Jing, Liwen; Rowel, Corbett R.; Raju, Salahuddin; Chan, Man Sun; Murch, Ross David; Yue, Patrick C. Conference paper

2015 15

A 30-Gb/s 1.37-pJ/b CMOS Receiver for Optical Interconnects
Journal of Lightwave Technology, v. 33, (4), February 2015, article number 6985584, p. 778-786
Pan, Quan; Wang, Yipeng; Hou, Zhengxiong; Sun, Li; Lu, Yan; Ki, Wing-Hung; Chiang, Patrick; Yue, Chik Patrick Article
A Fully-Integrated Low-Dropout Regulator With Full-Spectrum Power Supply Rejection
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 62, (3), March 2015, p. 707-716
Lu, Yan; Wang, Yipeng; Pan, Quan; Ki, Wing Hung; Yue, Patrick C. Article
China's IC Industrial Revolution
IEEE Solid-State Circuits Magazine, v. 7, (2), June 2015, p. 7-11
Yue, Patrick C. Article
Visible Light Communication System Design and Link Budget Analysis
Journal of Lightwave Technology, v. 33, (24), December 2015, article number 7323789, p. 5201-5209
Hussain, Babar; Li, Xianbo; Che, Fengyu; Yue, Patrick C.; Wu, Liang Article
A 123-Phase DC-DC Converter-Ring with Fast-DVS for Microprocessors
2015 IEEE International Solid-state Circuits Conference: Digest of Technical Papers, Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 364-365
Lu, Yan; Jiang, Junmin; Ki, Wing-Hung; Yue, Chik Patrick; Sin, Sai-Weng; U, Seng-pan; Martins, Rui Paulo Conference paper
A 60GHz 4Gb/s fully integrated NRZ-to-QPSK modulator SoC for backhaul links in fiber-wireless networks
ESSCIRC Conference 2015 - 41st European Solid-State Circuits Conference (ESSCIRC 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 152-155
Wang, Yipeng; Luo, Duona; Pan, Quan; Jing, Liwen; Li, Zhixin; Yue, Patrick C. Conference paper
A Fully Integrated IEEE 802.15.7 Visible Light Communication Transmitter with On-chip 8-W 85% Efficiency Boost LED Driver
2015 Symposium on VLSI Circuits (VLSI Circuits 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. C216-C217
Hussain, Babar; Che, Fengyu; Zhang, Feng; Yim, Tak-Sang; Cheng, Lin; Ki, Wing-Hung; Yue, Patrick Chik; Wu, Liang Conference paper
An AMLED Microdisplay Driver SoC with Built-In 1.25-Mb/s VLC Transmitter
2015 Symposium on VLSI Circuits (VLSI Circuits 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. C328-C329
Wu, Liang; Li, Xianbo; Chong, Wing Cheung; Liu, Zhaojun; Che, Fengyu; Hussain, Babar; Lau, Kei May; Yue, Chik Patrick Conference paper
Efficient On-Chip Wireless Power Transmission
2015 IEEE International Wireless Symposium (IWS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015
Raju, Salahuddin; Chan, Mansun; Yue, Patrick Conference paper
Efficient wireless power transmission technology based on above-CMOS integrated (ACI) high quality inductors
2014 IEEE International Electron Devices Meeting (IEDM), February 2015, article number 7047038, p. 12.4.1-12.4.4
Raju, Salahuddin; Li, Xing; Lu, Yan; Tsui, Chi Ying; Ki, Wing Hung; Chan, Mansun; Yue, Chik Patrick Conference paper
Link Budget Analysis for Visible Light Communication Systems
2015 IEEE International Wireless Symposium (IWS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015
Hussain, Babar; Che, Fengyu; Yue, Chik Patrick; Wu, Liang Conference paper
Millimeter-Wave 4:1 Transformer-based Balun Design for CMOS RF IC’s
2015 IEEE International Wireless Symposium (IWS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015
Jing, Liwen; Li, Alvin Siu-chi; Luo, Duona; Rowell, Corbett R.; Yue, Patrick C. Conference paper
Modeling of On-Chip Wireless Power Transmission System
2015 IEEE International Wireless Symposium (IWS 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015
Raju, Salahuddin; Prawoto, Clarissa Cyrilla; Chan, Mansun; Yue, Chik Patrick Conference paper
Recent Advancements in Visible Light Communication Modulator SoCs
2015 IEEE Summer Topicals Meeting Series (SUM 2015), Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 49-50
Yue, Patrick Chik; Wu, Liang; Li, Xianbo; Che, Fengyu; Hussain, Babar Conference paper
Recent Developments in Transceiver SoC Design for Next Generation Optical Networks
2015 Asia-Pacific Microwave Conference (APMC 2015), IEEE, 2015
Yue, Patrick C.; Luo, Duona; Zhu, Guang; Wang, Yipeng; Maqbool, Khawaja Qasim; Li, Zhixin Conference paper

2014 18

A 0.5-V P-Well/Deep N-Well Photodetector in 65-nm CMOS for Monolithic 850-nm Optical Receivers
IEEE Photonics Technology Letters, v. 26, (12), June 2014, article number 6799223, p. 1184-1187
Pan, Quan; Hou, Zhengxiong; Li, Yu; Poon, Andrew Wing On; Yue, Chik Patrick Article
A 26-28-Gb/s Full-Rate Clock and Data Recovery Circuit With Embedded Equalizer in 65-nm CMOS
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 61, (7), 2014, article number 6748989, p. 2139-2149
Sun, Li; Pan, Quan; Wang, Keh-Chung; Yue, Chik Patrick Article
A dual-band SP6T T/R switch in SOI CMOS with 37-dBm P-0.1 dB or GSM/W-CDMA Handsets
IEEE Transactions on Microwave Theory and Techniques, v. 62, (4), 2014, article number 6759762, p. 861-870
Wang, X. Shawn; Yue, Chik Patrick Article
Concurrent Design Analysis of High-Linearity SP10T Switch With 8.5 kV ESD Protection
IEEE Journal of Solid-State Circuits, v. 49, (9), 2014, article number 6850080, p. 1927-1941
Wang, X. Shawn; Wang, Xin; Lu, Fei; Zhang, Chen; Dong, Zongyu; Wang, Li; Ma, Rui; Shi, Zitao; Wang, Albert; Chang, Mau-Chung Frank; Wang, Dawn; Joseph, Alvin; Yue, Chik Patrick Article
Design and characterization of wireless power links for brain-machine interface applications
IEEE Transactions on Power Electronics, v. 29, (10), 2014, article number 6718154, p. 5462-5471
Wu, Rongxiang; Li, Wei; Luo, H.; Sin, Johnny Kin On; Yue, Chik Patrick Article
Modeling of Mutual Coupling Between Planar Inductors in Wireless Power Applications
IEEE Transactions on Power Electronics, v. 29, (1), 2014, article number 6482651, p. 481-490
Raju, Salahuddin; Wu, Rongxiang; Chan, Man Sun; Yue, Chik Patrick Article
17.11 A 0.65ns-response-time 3.01ps FOM Fully-integrated Low-dropout Regulator with Full-spectrum Power-supply-rejection for Wideband Communication Systems
2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), Institute of Electrical and Electronics Engineers (IEEE), 2014, p. 306-307
Lu, Yan; Ki, Wing-Hung; Yue, Chik Patrick Conference paper
A 23-mW 30-Gb/s Digitally Programmable Limiting Amplifier for 100GbE Optical Receivers
Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium, USA : Institute of Electrical and Electronics Engineers Inc., 2014, p. 279-282
Hou, Zhengxiong; Pan, Quan; Wang, Yipeng; Wu, Liang; Yue, Chik Patrick Conference paper
A 25Gbps, 2x-Oversampling CDR Using a Zero-Crossing Linearizing Phase Detector
Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium, USA : IEEE, 2014, p. 271-274
Wang, Zhongkai; Bai, Rui; Wang, Juncheng; Jing, Xing; Nan, Qi; Sun, Li; Yue, C. Patrick; Hong, Zhiliang; Chiang, Patrick Yin Conference paper
A 3-mW 25-Gb/s CMOS Transimpedance Amplifier with Fully Integrated Low-dropout Regulator for 100GbE Systems
Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium, 2014, article number 6851718, p. 275-278
Wang, Yipeng; Lu, Yan; Pan, Quan; Hou, Zhengxiong; Wu, Liang; Ki, Winghung; Yue, Chik Patrick Conference paper
A 41-mW 30-Gb/s CMOS optical receiver with digitally-tunable cascaded equalization
European Solid-State Circuits Conference, v. 2014, article number 6942038, p. 127-130
Pan, Quan; Wang, Yipeng; Hou, Zhengxiong; Sun, Li; Wu, Liang; Ki, Wing Hung; Chiang, Patrick; Yue, Chik Patrick Conference paper
A 48-mW 18-Gb/s Fully Integrated CMOS Optical Receiver with Photodetector and Adaptive Equalizer
2014 Symposium on VLSI Circuits (VLSI-Circuits 2014), Institute of Electrical and Electronics Engineers (IEEE), 2014
Pan, Quan; Hou, Zhengxiong; Wang, Yipeng; Lu, Yan; Ki, Wing Hung; Wang, Keh Chung; Yue, Chik Patrick Conference paper
Design and implementation of IEEE 802.15.7 VLC PHY-I transceiver
2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), 2014, article number 7021249
Che, Fengyu; Hussain, Babar; Wu, Liang; Yue, Chik Patrick Conference paper
Differential stacked spiral inductor and transistor layout designs for broadband high-speed circuits
2014 IEEE International Symposium on Radio-Frequency Integration Technology (RFIT 2014), University of Science and Technology of China (USTC), Hefei, China, 27-30 August 2014
Pan, Quan; Sun, Li; Yue, Patrick Chik Conference paper
Hardware and Software Co-design of Visible Light Indoor Positioning Systems for Robotics
2014 International Conference on Advanced Control, Automation and Robotics, Hong Kong, 2014
Che, Fengyu; Hussain, Babar; Qiu, Kejie; Liu, Ming; Wu, Liang; Yue, Chik Patrick Conference paper
Integrated Magnetics for Eco-friendly LED System-on-a-Chip Applications
The 4th International Power Supply on Chip Workshop (PwrSoC2014), Boston, Massachusetts, USA, 6-8 October 2014
Fang, Xiangming; Sin, Johnny Kin On; Lau, Kei May; Yue, Chik Patrick; Mok, Philip Kwok Tai Conference paper
Through Silicon Underfill Dispensing for 3D Die/Interposer Stacking
Proceedings - Electronic Components and Technology Conference, September 2014, article number 6897397, p. 919-924
Le, Fuliang; Lee, Ricky Shi-wei; Lau, Kei May; Yue, Chik Patrick; Sin, Johnny Kin On; Mok, Philip Kwok Tai; Ki, Wing Hung; Choi, Hoi Wai Conference paper
Towards Indoor Localization Using Visible Light Communication for Consumer Electronic Devices
2014 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS 2014), Institute of Electrical and Electronics Engineers (IEEE), 2014, p. 143-148
Liu, Ming; Qiu, Kejie; Che, Fengyu; Li, Shaohua; Hussain, Babar; Wu, Liang; Yue, Patrick C. Conference paper

2013 14

A +/- 0.5% Precision On-Chip Frequency Reference With Programmable Switch Array for Crystal-Less Applications
IEEE Transactions on Circuits and Systems II: Express Briefs, v. 60, (10), 2013, article number 6584791, p. 642-646
Lu, Yan; Yuan, Gang; Der, Lawrence; Ki, Wing-Hung; Yue, Chik Patrick Article
A GaN-Based Lamb-Wave Oscillator on Silicon for High-Temperature Integrated Sensors
IEEE Microwave and Wireless Components Letters, v. 23, (6), 2013, article number 6514898, p. 318-320
Lu, Xing; Ma, Jun; Yue, Chik Patrick; Lau, Kei May Article
High-Q Backside Silicon-Embedded Inductor for Power Applications in μH and MHz Range
IEEE Transactions on Electron Devices, v. 60, (1), January 2013, article number 6353195, p. 339-345
Wu, Rongxiang; Sin, Johnny Kin On; Yue, Patrick Chik Article
Silicon-Embedded Receiving Coil for High-Efficiency Wireless Power Transfer to Implantable Biomedical ICs
IEEE Electron Device Letters, v. 34, (1), 2013, article number 6365746, p. 9-11
Wu, Rongxiang; Raju, Salahuddin; Chan, Man Sun; Sin, Johnny Kin On; Yue, Chik Patrick Article
A 13.56MHz fully integrated 1X/2X active rectifier with compensated bias current for inductively powered devices
Digest of Technical Papers - 2013 60th IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 17 February 2013 - 21 February 2013
Lu, Yan; Li, Xing; Ki, Wing-Hung; Tsui, Chiying; Yue, Patrick Chik Conference paper
A 25-28 Gbps Clock and Data Recovery System with Embedded Equalization in 65-nm CMOS
International Solid-State Circuits Conference Student Research Preview 2013, San Francisco, USA, 2013
Sun, Li; Pan, Quan; Wang, Yipeng; Hou, Zhengxiong; Lu, Yan; Yue, Chik Patrick Conference paper
A 25-Gb/s 32.1-dB CMOS Limiting Amplifier for Integrated Optical Receivers
2013 IEEE 10th International Conference on ASIC (ASICON 2013), Institute of Electrical and Electronics Engineers (IEEE), 2013
Hou, Zhengxiong; Wang, Yipeng; Pan, Quan; Yue, C. Patrick Conference paper
A 65-nm CMOS P-well/Deep N-well Avalanche Photodetector for Integrated 850-nm Optical
2013 IEEE 10th International Conference on ASIC (ASICON 2013), Institute of Electrical and Electronics Engineers (IEEE), 2013
Pan, Quan; Hou, Zhengxiong; Wang, Yipeng; Yue, Chik Patrick Conference paper
A Smartphone SP10T T/R Switch in 180-nm SOI CMOS with 8kV? ESD Protection by Co-Design
Proceedings of the Custom Integrated Circuits Conference, November 2013, article number 6658474
Wang, Xiao Shawn; Wang, Xin; Lu, Fei; Wang, Li; Ma, Rui; Dong, Zongyu; Sun, Li; Wang, Albert; Yue, Chik Patrick; Wang, Dawn; Joseph, Alvin Conference paper
Concurrent Design Analysis of A 8500V ESD-Protected SP10T Switch in SOI CMOS
2013 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference, S3S 2013, 2013, article number 6716560
Wang, X. Shawn; Wang, Xin; Dong, Zongyu; Lu, Fei; Wang, Li; Ma, Rui; Zhang, Chen; Wang, Albert; Yue, C. Patrick; Wang, Dawn; Joseph, Alvin Conference paper
Cost-effective and Eco-friendly LED System-on-a-Chip (SoC)
2013 10th China International Forum on Solid State Lighting, ChinaSSL 2013, 2013, article number 7177356, p. 235-238
Lau, Kei May; Choi, Hoiwai; Ki, Wing-Hung; Lee, Ricky Shi Wei; Mok, Philip Kwok Tai; Sin, Johnny Kin On; Yue, Chik Patrick Conference paper
Integrated CMOS Photodetectors for Short-range Optical Communication
IEEE Conference on Electron Devices and Solid-State Circuits, v. 2013, 2013, article number 6628147
Hou, Zhengxiong; Pan, Quan; Li, Yu; Feng, Shaoqi; Poon, Wing On; Yue, Patrick Conference paper
Modeling of an Inductive Link for Wireless Power Applications
IEEE Conference on Electron Devices and Solid-State Circuits, v. 2013, 2013, article number 6628105
Raju, Salahuddin; Chan, Mansun; Yue, Chik Patrick Conference paper
Predicting Key Parameters of Inductive Power Links
10th International Workshop on Compact Modeling, Yokohama, Japan, 22 January, 2013
Raju, Salahuddin; Yue, C. Patrick; Chan, Mansun Conference paper

2012 9

A 25–28Gbps clock and data recovery system with embedded equalization in 65-nm CMOS
2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology Proceedings (ICSICT 2012), Xian, China, 2012, p.960-963
Sun, Li; Pan, Alex; Wang, Keh Chung; Yue, Patrick C. Article
A Performance Study of Layout and Vt Options for Low Noise Amplifier Design in 65-nm CMOS
Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium, Montreal, Canada, 2012, p. 535-538
Pan, Quan; Yeh, Tzu-Jin; Jou, Chewnpu; Hsueh, Fu-Lung; Luong, Howard Cam; Yue, Chik Patrick Article
A Design Technique Overview on Broadband RF ESD Protection Circuit Designs
IEEE 55th International Midwest Symposium on Circuits & Systems (MWSCAS), Boise, Idaho, USA, 5-8 Aug 2012
Wang, Li; Ma, Rui; Wang, Albert; Wang, Xiao; Yue, C. Patrick; Wang, Xin; Zhao, Bin; Shi, Zitao; Cheng, Yuhua Conference paper
A Near-Threshold, Multi-Node, Wireless Body Area Sensor Network Powered by RF Energy Harvesting
IEEE Custom Integrated Circuits Conference (CICC), San Jose, CA, USA. September 2012
Cheng, Jiao; Xia, Lingli; Ma, Chao; Lian, Yong; Xu, Xiaoyuan; Yue, C. Patrick; Hong, Zhiliang; Chiang, Patrick Y. Conference paper
A novel GaN -based Monolithic SAW/HEMT Oscillator on Silicon
Ultrasonics Symposium (IUS), 2012 IEEE International, Dresden, 2012, p. 2206-2209
Lu, Xing; Ma, Jun; Zhu, Xueliang; Lee, Chi Ming; Yue, Chik Patrick; Lau, Kei May Conference paper
Input-Adaptive Dual-Output Power Management Unit for Energy Harvesting Devices
Midwest Symposium on Circuits and Systems, 2012, p. 1080-1083
Lu, Yan; Ki, Wing-Hung; Yue, C. Patrick Conference paper
Modeling of mutual inductance for planar inductors used in inductive link applications
2012 IEEE International Conference on Electron Devices and Solid State Circuit, EDSSC 2012, Bangkok, 2012, p. 1-2
Raju, Salahuddin; Wu, Rongxiang; Chan, Mansun; Yue, Chik Patrick Conference paper
The evolution of fabless IC industry in China: Past, present, and future
VLSI Design, Automation, and Test (VLSI-DAT), 2012 International Symposium on Hsinchu, 23-25 April 2012, p. 1
Ko, Ping K.; Yue, Patrick C. Conference paper
Wireless power link design using silicon-embedded inductors for brain-machine interface
2012 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2012 - Proceedings of Technical Papers, Taiwan, April 23-25, 2012, p. 1-4
Wu, Rongxiang; Raju, Salahuddin; Chan, Man Sun; Sin, Johnny Kin On; Yue, Chik Patrick Conference paper

2011 3

Bias correction for estimated QTL effects using the penalized maximum likelihood method
Heredity, v. 108, (4), April 2012, p. 396-402
Zhang, J.; Yue, C. Patrick; Zhang, Y.M. Article
Foreword
VLSI Design, Automation and Test (VLSI-DAT), 2011 International Symposium on Hsinchu, 2011, April, pp 1
Jou, Shye Jye; Yue, Patrick C. Article
Trends and outlook of wireless I/O's for short-range connectivity and beyond
Radio-Frequency Integration Technology (RFIT), 2011 IEEE International Symposium, Beijing, China, Nov 30-Dec 2 2011, 2011, p. 33-36
Jung, Byunghoo; Yue, Patrick Chik Conference paper

2010 3

A fully integrated transmitter with embedded antenna for on-wafer wireless testing
IEEE Transactions on Microwave Theory and Techniques, v. 58, (5), 2010, May, p. 1456-1463
Park, P.; Chen, L.; Yu, H.K.; Yue, C.P. Article
Design challenges for sense amplifier and wireless link in high-density neural recording implants
Proceedings of 2010 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2010, 2010, p. 61-64
Elzeftawi, M.N.; Yue, C.P.; Theogarajan, L. Conference paper
RFIC panel sessions
Radio Frequency Integrated Circuits Symposium (RFIC), 2010 IEEE, Anaheim, CA, 2010, May, p. 1-3
Yong, S.K.; Hattig, Myron; Yue, Patrick Chik Conference paper

2009 6

High-linearity CMOS T/R switch design above 20 GHz using asymmetrical topology and AC-floating bias
IEEE Transactions on Microwave Theory and Techniques, v. 57, (4), 2009, p. 948-956
Park, P.; Shin, D.H.; Yue, C.P. Article
A 1.1V 150GHz amplifier with 8dB Gain and +6dBm saturated output power in standard digital 65nm CMOS using dummy-prefilled microstrip lines
Digest of technical papers - IEEE International Solid-State Circuits Conference, 2009
Seo, M.; Jagannathan, B.; Carta, C.; Pekarik, J.; Chen, L.; Yue, C.P.; Rodwell, M. Conference paper
A 1-mW 12-Gb/s continuous-time adaptive passive equalizer in 90-nm CMOS
IEEE Custom Integrated Circuits Conference (CICC), 2009, p. 117-120
Shin, D.H.; Jang, J.E.; O'Mahony, F.; Yue, C.P. Conference paper
A 200-Mb/s 10-mW super-regenerative receiver at 60 GHz
2009 International Symposium on VLSI Design, Automation and Test, VLSI-DAT '09, 2009, p. 315-318
Liang, K.H.; Chen, L.; Yue, C.P. Conference paper
On-wafer wireless testing and mismatch monitoring using RF transmitters with integrated antennas
IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 2009, p. 505-508
Piljae, P.; Chen, L.; Wang, L.; Long, S.; Hyun, K.Y.; Yue, C.P. Conference paper
RPM-A: Who will win the battle for the Gigabit Wireless in your home: WirelessHD, 802.11 n, wireless USB, or UWB?
Radio Frequency Integrated Circuits Symposium, 2009. RFIC 2009. IEEE, Boston, MA, USA, 7-9 June 2009, pp cxlvii-cxlvii
Yue, Patrick C.; Albert, Jerng Conference paper

2008 9

A 1-V, 1.4-2.5 GHz charge-pump-less PLL for a phase interpolator based CDR
IEEE Custom Integrated Circuits Conference (CICC), 2008, p. 281-284
Park, J.; Liu, J.F.; Carley, L.R.; Yue, C.P. Conference paper
A 60GHz line-of-sight 2×2 MIMO link operating at 1.2Gbps
2008 IEEE International Symposium on Antennas and Propagation and USNC/URSI National Radio Science Meeting, APSURSI, 2008
Sheldon, C.; Torkildson, E.; Munkyo, S.; Yue, C.P.; Madhow, U.; Rodwell, M. Conference paper
A feasibility study of on-wafer wireless testing
2008 International Symposium on VLSI Design, Automation, and Test, VLSI-DAT, 2008, p. 299-302
Park, P.; Yue, C.P. Conference paper
A high-linearity, LC-tuned, 24-GHz T/R switch in 90-nm CMOS
IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 2008, p. 369-372
Park, P.; Shin, D.H.; Pekarik, J.J.; Rodwell, M.; Yue, C.P. Conference paper
Adaptive biasing circuit overcoming process variation for high-speed circuits in scaled CMOS technology
2008 International Symposium on VLSI Design, Automation, and Test, VLSI-DAT, 2008, p. 243-246
Chen, L.; Yue, C.P. Conference paper
Cell-based high-frequency IC design in scaled CMOS
International Conference on Solid-State and Integrated Circuits Technology Proceedings, ICSICT, 2008, p. 1452-1455
Yue, C.P.; Shin, D.H. Conference paper
Low-power feedback-enhanced electro-mechanical impedance (FEMI) sensors
Proceedings of SPIE, v. 6932, 2008, article number 693224
Jang, J.; Yue, Patrick Conference paper
Modeling of triple-well isolation and the loading effects on circuits up to 50 GHz
IEEE Custom Integrated Circuits Conference (CICC), 2008, p. 217-220
Park, P.; Yue, C.P. Conference paper
Spatial multiplexing over a line-of-sight millimeter-wave MIMO link: A two-channel hardware demonstration at 1.2Gbps over 41m range
Proceedings of the 1st European Wireless Technology Conference, EuWiT 2008, 2008, p. 198-201
Sheldon, C.; Torkildson, E.; Seo, M.; Yue, C.P.; Rodwell, M.; Madhow, U. Conference paper

2007 5

An Inductively Coupled Lamb Wave Transducer
IEEE Sensors Journal, v. 7, (2), February 2007, p. 295–301
Greve, D.W.; Sohn, H.; Yue, Patrick; Oppenheim, I.J. Article
A 1.2-V, 5.8-mW, ultra-wideband folded mixer in 0.13-μm CMOS
IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 2007, p. 489-492
Choi, K.; Shin, D.H.; Yue, C.P. Conference paper
A low-power, 3-5-GHz CMOS UWB LNA using transformer matching technique
2007 IEEE Asian Solid-State Circuits Conference, A-SSCC, 2007, p. 95-98
Shin, D.H.; Park, J.; Yue, C.P. Conference paper
Cell-based high-frequency ic design in scaled CMOS (Invited paper)
RFIT 2007 - IEEE International Workshop on Radio-Frequency Integration Technology, 2007, p. 195-200
Yue, C.P.; Dong, H.S. Conference paper
Cell-based mm-wave IC design in scaled CMOS
Radio-Frequency Integration Technology, 2007. RFIT 007. IEEE International Workshop on Singapore, 9-11 Dec. 2007, pp. nil19
Yue, Patrick Chik; Scholten, Andries Conference paper

2006 7

Low-Power, Parallel Interface with Continuous-Time Adaptive Passive Equalizer and Crosstalk Cancellation
Design of High-Speed Communications Circuits / Edited by Ramesh Harjani. New Jersey: World Scientific, 2006, p.459?476
Yue, Patrick; Park, Jaejin; Sun, Ruifeng; Carley, L. Rick; O'Mahony, Frank Book chapter
A tunable passive filter for low-power high-speed equalizers
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2006, p. 198-199
Sun, R.; Park, J.; O'Mahony, F.; Yue, C.P. Conference paper
A unified modeling and design methodology for RFICs using parameterized sub-circuit cells
IEEE Radio Frequency Integrated Circuits Symposium (RFIC), v. 2006, 2006, p. 369-372
Dong, H.S.; Yue, C.P. Conference paper
Active sensing with an inductively coupled (wireless) Lamb wave transducer
Fourth World Conference on Structural Control and Monitoring, San Diego, 2006
Greve, D.W.; Oppenheim, I.J.; Sohn, H.; Yue, Patrick C.; Boscha, A.H. Conference paper
An Inductively Coupled (Wireless) Lamb Wave Transducer
Third International Workshop on Advanced Smart Materials and Smart Structures Technology, May 2006
Greve, D.W.; Oppenheim, I.J.; Sohn, H.; Yue, Patrick Conference paper
Development of self-contained sensor skin for highway bridge monitoring
Proceedings of SPIE--the international society for optical engineering, v. 6174, 2006
Jang, J.; Liu, J.F.; Yue, C.P.; Sohn, H. Conference paper
Structural health monitoring with an inductively coupled (wireless) Lamb wave transducer
Third European workshop on structural health monitoring. Granada, Spain, 2006, July, P. 1364-1371
Greve, David; Oppenheim, Irving J.; Sohn, Hoon; Yue, Patrick Chik Conference paper

2005 9

Analysis and synthesis of on-chip spiral inductors
IEEE Transactions on Electron Devices, v. 52, (2), 2005, p. 176-182
Talwalkar, N.A.; Yue, C.P.; Wong, S.S. Article
Congratulations New Senior Members
IEEE Solid-State Circuits Society Newsletter, v. 10, (2), 2005, May, p. 8
Dan, Claudius; Ferlet-Cavrois, Veronique; Horng, Tzyy-Sheng; Ingelhag, Per; Kao, Minglai; Lidholm, Sverre U.; Linder, Bertil C.; Malcovati, Piero; Mar, Monte F.; Papavassiliou, Christos A.; Plant, David V.; Saavedra, Carlos E.; Sovero, Emilio A.; Svensson, Lars Georg; Swahn, Thomas G.; Van der Tang, Johan David; Yue, Patrick Chik Article
Experimental Evidence for Gyromagnetic Damping in Magnetic Heads Determined by Impedance Measurements up to 9 GHz
IEEE Transactions on Magnetics, v. 41, (10), October 2005, p. 2923–2925
Kaya, A.; Yue, Patrick; Bain, J.A. Article
Low-power, parallel interface with continuous-time adaptive passive equalizer and crosstalk cancellation
International journal of high speed electronics and systems, v. 15, (2), 2005, June, p 459-476
Yue, Patrick C.; Park, Jaejin; Sun, Ruifeng; Rick Carley, L.; O'Mahony, Frank O. Article
A 10-Gbps, 8-PAM parallel interface with crosstalk cancellation for future hard disk drive channel ICs
Proceedings - IEEE International Symposium on Circuits and Systems, 2005, p. 1162-1165
Park, J.; Sun, R.; Carley, L.R.; Yue, C.P. Conference paper
A low-power, 20-Gb/s continuous-time adaptive passive equalizer
Proceedings - IEEE International Symposium on Circuits and Systems, 2005, p. 920-923
Sun, R.; Park, J.; O'Mahony, F.; Yue, C.P. Conference paper
Magnetic Recording: Systems, Heads, Channels, Head-Disk Interference, Modeling-Experimental Evidence for Gyromagnetic Damping in Magnetic Heads Determined by Impedance Measurements Up to 9 GHz
IEEE Transactions on Magnetics, v. 41, (10), 2005, p. 2923-2925
Kaya, A.; Yue, Patrick C.; Bain, J.A. Conference paper
Scalability of RF CMOS
IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 2005, p. 53-56
Yue, C.P.; Wong, S.S. Conference paper
Tunable on-chip inductors up to 5 GHz using patterned permalloy laminations
Technical digest - International Electron Devices Meeting, v. 2005, 2005, p. 943-946
Salvia, J.; Bain, J.A.; Yue, C.P. Conference paper

2004 7

Integrated CMOS transmit-receive switch using LC-tuned substrate bias for 2.4-GHz and 5.2-GHz applications
IEEE Journal of Solid-State Circuits, v. 39, (6), 2004, p. 863-870
Talwalkar, N.A.; Yue, C.P.; Gan, H.; Wong, S.S. Article
Modeling and Optimization of Substrate Resistance for RF-CMOS
IEEE Transactions on Electron Devices, v. 51, (3), 2004, p. 421-426
Chang, R.T.; Yang, M.T.; Ho, P.P.C.; Wang, Y.J.; Chia, Y.T.; Liew, B.K.; Yue, C.P.; Wong, S.S. Article
An 800 mW 10 Gb Ethernet transceiver in 0.13μm CMOS
2004 IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, February 2004, p. 168?169
Sidiropoulos, S.; Acharya, N.; Chau, P.; Dao, J.; Feldman, A.; Liaw, H.J.; Loinaz, M.; Narayanaswami, R.S.; Portmann, C.; Rabii, S.; Salleh, A.; Sheth, S.; Thon, L.; Vleugels, K.; Yue, Patrick; Stark, D. Conference paper
Extraction and applications of on-chip interconnect inductance
International Conference on Solid-State and Integrated Circuits Technology Proceedings, ICSICT, v. 1, 2004, p. 142-146
Wong, S.S.; Kim, S.Y.; Yue, C.P.; Chang, R.; O'Mahony, F. Conference paper
Package and Test Environment Design for a 10 Gigabit Ethernet Transceiver
DesignCon, February 2004
Liaw, H.J.; Yue, Patrick; Emigh, R.; Shin, D.H. Conference paper
Realization of Digital Noise Emulator for Characterization of Systems Exposed to Substrate Noise
12th Workshop on Synthesis And System Integration of Mixed Information Technologies, October 2004
Lu, Y.C.; Kim, J.W.; Nakano, N.; Colleran, D.; Yue, Patrick; Dutton, R.W. Conference paper
WSL: ESD protection for RFIC's: Science or black magic?
Microwave Symposium Digest, 2004 IEEE MTT-S International, Fort Worth, TX, USA, v. 1, 2004, June
Yue, Patrick Chik; Wang, A. Conference paper

2003 8

A 10-GHz Global Clock Distribution Using Coupled Standing-Wave Oscillators
IEEE Journal of Solid-State Circuits, v. 38, (11), November 2003, p. 1813?1820
O'Mahony, F.; Yue, Patrick; Horowitz, M.A.; Wong, S.S. Article
Near speed-of-light signaling over on-chip electrical interconnects
Solid-State Circuits, IEEE Journal of Solid-State Circuits, v. 38, (5), 2003, May, pp 834-838
Chang, Richard T.; Talwalkar, Niranjan; Yue, C. Patrick; Wong, S. Simon Article
10GHz clock distribution using coupled standing-wave oscillators
Digest of technical papers - IEEE International Solid-State Circuits Conference, 2003, p. 421+428-429+504
O'Mahony, F.; Yue, C.P.; Horowitz, M.; Wong, S.S. Conference paper
An integrated 5.2GHz CMOS T/R switch with LC-tuned substrate bias
Digest of technical papers - IEEE International Solid-State Circuits Conference, 2003, p. 349+362-363+499
Talwalkar, N.; Yue, C.P.; Wong, S.S. Conference paper
Compact modeling of high frequency phenomena for on-chip spiral inductors
2003 Nanotechnology Conference and Trade Show - Nanotech 2003, v. 2, 2003, p. 360-363
Talwalkar, N.; Yue, C.P.; Wong, S.S. Conference paper
Compact Modeling of High Frequency Phenomena for Onchip Spiral Inductors
Sixth International Conference on Modeling and Simulation of Microsystems (ICMSM), February 2003
Talwalkar, N.; Yue, Patrick; Wong, S.S. Conference paper
Design of a 10GHz clock distribution network using coupled standing-wave oscillators
40th Design Automation Conference (DAC), June 2003, p. 682?687
O'Mahony, F.; Yue, Patrick; Horowitz, M.A.; Wong, S.S. Conference paper
On-Chip Interconnect Inductance - Friend or Foe
4th International Symposium on Quality Electronic Design (ISQED), San Jose, United States, 24-26 March 2003, p. 389?394, Article number 1194764
Wong, Simon; Yue, Patrick C.; Chang, Richard; Kim, Soyoung; Kleveland, Bendik; O'Mahony, Frank O. Conference paper

2002 3

A 5-GHz CMOS transceiver for IEEE 802.11a wireless LAN systems
IEEE Journal of Solid-State Circuits, v. 37, (12), 2002, p. 1688-1694
Zargari, M.; Su, D.K.; Yue, C.P.; Rabii, S.; Weber, D.; Kaczynski, B.J.; Mehta, S.S.; Singh, K.; Mendis, S.; Wooley, B.A. Conference paper
Near speed-of-light on-chip electrical interconnect
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, (CIRCUITS SYMP.), 2002, p. 18-21
Chang, R.T.; Yue, C.P.; Wong, S.S. Conference paper
On-chip RF isolation techniques
Proceedings of the IEEE Bipolar/BiCMOS Circuits and Technology Meeting, 2002, p. 205-211
Blalack, T.; Leclercq, Y.; Yue, C.P. Conference paper

2000 2

A fast 3-D modeling approach to electrical parameters extraction of bonding wires for RF circuits
IEEE Transactions on Advanced Packaging, v. 23, (3), 2000, p. 480-488
Qi, X.; Yue, C.P.; Arnborg, T.; Soh, H.T.; Sakai, H.; Yu, Z.; Dutton, R.W. Article
Physical Modeling of Spiral Inductors on Silicon
IEEE Transactions of Electron Devices, v. 47, (3), March 2000, p. 560–568
Yue, Patrick; Wong, S.S. Article

1999 4

Design strategy of on-chip inductors for highly integrated RF systems
DAC, 1999, p. 982-987
Yue, C. Patrick; Wong, S. Simon Article
Ultra-Low Resistance, Through-Wafer Via (TWV) Technology and Its Applications in Three Dimensional Structures on Silicon
Japanese Journal of Applied Physics, v. 38, pt. 1, no. 4B, April 1999, p. 2393–2396
Soh, Hyongsok T.; Yue, Patrick C.; McCarthy, Anthony M.; Ryu, Changsup; Lee, Thomas H.; Wong, S. Simon; Quate, Calvin F. Article
RF Passive IC Components
The VLSI Handbook / Edited by Wai-Kai Chen, CRC Press and IEEE Press, 1999
Lee, T.H.; Hershenson, M.M.; Mohan, S.S.; Samavati, H.; Yue, Patrick Book chapter
Study on substrate effects of silicon-based RF passive components
IEEE MTT-S International Microwave Symposium digest, v. 4, 1999, p. 1625-1628
Yue, C. Patrick; Wong, S. Simon Conference paper

1998 9

A 115-mW, 0.5-μm CMOS GPS Receiver with Wide Dynamic-Range Active Filters
IEEE Journal of Solid-State Circuits, v. 33, (12), December 1998, p. 2219–2231
Shaeffer, D.K.; Shahani, A.R.; Mohan, S.S.; Samavati, H.; Rategh, H.; Hershenson, M.M.; Xu, M.C.; Yue, Patrick; Eddleman, D.; Lee, T.H. Article
Low-Power Dividerless Frequency Synthesis Using Aperture Phase Detection
IEEE Journal of Solid-State Circuit, v. 33, (12), December 1998, p. 2232–2239
Shahani, A.R.; Shaeffer, D.K.; Mohan, S.S.; Samavati, H.; Rategh, H.; Hershenson, M.M.; Xu, M.; Yue, Patrick; Eddleman, D.; Lee, T.H. Article
On-Chip Spiral Inductors with Patterned Ground Shields for Si-Based RF ICs
IEEE Journal of Solid-State Circuits, v. 33, (5), May 1998, p. 743–752
Yue, Patrick; Wong, S.S. Article
115 mW CMOS GPS receiver
Digest of technical papers - IEEE International Solid-State Circuits Conference, 1998
Shaeffer, D.; Shahani, A.; Mohan, S.; Samavati, H.; Rategh, H.; Hershenson, M.; Xu, M.; Yue, C.; Eddleman, D.; Lee, T. Conference paper
Analysis and optimization of accumulation-mode varactor for RF
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 1998, p. 32-33
Soorapanth, Theerachet; Yue, C. Patrick; Shaeffer, Derek K.; Lee, Thomas H.; Wong, S. Simon Conference paper
Fast 3D modeling approach to parasitics extraction of bonding wires for RF circuits
Technical digest - International Electron Devices Meeting, 1998, p. 299-302
Qi, Xiaoning; Yue, C. Patrick; Arnborg, Torkel; Soh, Hyongsok T.; Yu, Zhiping; Dutton, Robert W.; Sakai, Hiroyuki Conference paper
Modeling and characterization of on-chip transformers
Technical digest - International Electron Devices Meeting, 1998, p. 531-534
Mohan, Sunderarajan S.; Yue, C. Patrick; Hershenson, Maria del Mar; Wong, S. Simon; Lee, Thomas H. Conference paper
Through-Wafer Vias (TWV) and their Applications in 3 Dimensional Structures
Proceedings of 1998 International Conference on Solid State Devices and Materials, v.12, 1998
Soh, H.T.; Yue, Patrick Chik; McCarthy, A.M.; Ryu, C.; Lee, T.H.; Quate, C.F. Conference paper
Ultra-Low Resistance, Through-Wafer Via (TWV) Technology and Its Applications in Three Dimensional Structures in Silicon
International Conference on Solid State Devices and Materials (SSDM), September 1998, p. 284–285
Soh, H.T.; Yue, Patrick; McCarthy, A.M.; Ryu, C.; Lee, T.H.; Quate, C.F. Conference paper

1997 1

On-chip spiral inductors with patterned ground shields for Si-based RF IC's
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 1997, p. 85-86
Yue, C. Patrick; Wong, S. Simon Conference paper

1996 2

Kinetics of Copper Drift in PECVD Dielectrics
IEEE Electron Device Letters, v. 17, (12), p. 549–551, December 1996
Loke, A.L.S.; Ryu, C.; Yue, Patrick; Cho, J.S.H.; Wong, S.S. Article
Physical model for planar spiral inductors on silicon
Technical digest - International Electron Devices Meeting, 1996, p. 155-158
Yue, C. Patrick; Ryu, Changsup; Lau, Jack; Lee, Thomas H.; Wong, S. Simon Conference paper

1993 1

Improved Universal MOSFET Electron Mobility Degradation Models for Circuit Simulation
IEEE TCAD of Integrated Circuits and Systems, v. 12, (10), October 1993, p. 1542–1545
Yue, Patrick; Agostinelli, V.M.; Yeric, G.M.; Tasch, A.F. Article


No Publications






Teaching Assignment
2021-22 Winter 0 2021-22 Fall 4 2020-21 Summer 1 2020-21 Spring 4 2020-21 Winter 0 2020-21 Fall 2


ELEC2910 Academic and Professional Development I
ELEC3400 Introduction to Integrated Circuits and Systems
ELEC3910 Academic and Professional Development II
UROP1100E Undergraduate Research Opportunities Series 1


CPEG4911 Computer Engineering Final Year Project in ELEC


CPEG4911 Computer Engineering Final Year Project in ELEC
ELEC4900 Final Year Design Project
ELEC4910 Co-op Program
ELEC5280 High Frequency Circuit Design


CPEG4911 Computer Engineering Final Year Project in ELEC
ELEC3400 Introduction to Integrated Circuits and Systems


No Teaching Assignments


No Teaching Assignments






Research Postgraduate (RPG) Supervision From January 2019 to December 2022 (As of 30 January 2022)


All Supervisions Current RPGs Graduated RPGs




Current RPGs


Doctor of Philosophy ABDEKHODA, Johar
Electronic and Computer Engineering( 2021 - )

DENG, Weishun
Electronic and Computer Engineering( 2021 - )

FALLAH, Hamed
Electronic and Computer Engineering( 2021 - )

CHEN, Fuzhan
Electronic and Computer Engineering( 2020 - )

LIU, Xinyi
Electronic and Computer Engineering( 2020 - )

LIU, Zilu
Electronic and Computer Engineering( 2020 - )

MIN, Tianxin
Electronic and Computer Engineering( 2020 - )

ZHANG, Chongyun
Electronic and Computer Engineering( 2020 - )

AZMAT, Rehan
Electronic and Computer Engineering( 2019 - )

HONG, Ziyang
Electronic and Computer Engineering( 2019 - )

WANG, Yiru
Electronic and Computer Engineering( 2019 - )

XU, Bo
Electronic and Computer Engineering( 2019 - )




Master of Philosophy CHENG, Hoi Chuen
Electronic and Computer Engineering( 2021 - )





Graduated RPGs


Doctor of Philosophy HUSSAIN, Babar
Electronic and Computer Engineering( Completed in 2021 )

WANG, Li
Electronic and Computer Engineering( Completed in 2021 )

KALANTARIMAHMOUDABADI, Milad
Electronic and Computer Engineering( Completed in 2019 )




Master of Philosophy KANG, Jian
Electronic and Computer Engineering( Completed in 2021 )

WANG, Can
Electronic and Computer Engineering( Completed in 2020 )

WU, Xuan
Electronic and Computer Engineering( Completed in 2020 )









ProjectsFrom January 2020 to December 2022

All Projects 12 Leading Projects 9 Participating Projects 3


Visible light communication and positioning system for the industrial Internet of Things: topic#1 Communication chip and collaborative positioning technology for intelligent industrial robots


用于工業物聯網的可見光通信與定位系統: 子課題#1 智能工業機器人通信芯片及協同定位技術 Leading


Nanhai People's Government of Foshan


Project Team (HKUST)
YUE Chik Patrick (Lead)
LIU Ming


2021 -




Visible light communication and positioning system for the industrial Internet of Things: topic#2 Visible light positioning algorithm and automated LED mapping technology for robots


用于工業物聯網的可見光通信與定位系統: 子課題#2 適用于機器人的可見光定位算法及自動化LED建圖技術 Participating


Nanhai People's Government of Foshan


Project Team (HKUST)
LIU Ming
YUE Chik Patrick


2021 -




Design and Implementation of Visible Light Communication Transceiver System-on-a-Chip for Li-Fi Networks


用於Li-Fi網絡的可見光通信收發器芯片系統設計與實現 Leading


RGC - General Research Fund


Project Team (HKUST)
YUE Chik Patrick (Lead)


2021 -




Optical-Wireless Communication Integrated Circuit (OWIC) for Hybrid Fiber-Wireless Broadband Connectivity in 5G Radio Access Network


用於5G無線接入網中混合光纖無線寬帶連接的光無線通信集成電路 Leading


RGC - General Research Fund


Project Team (HKUST)
YUE Chik Patrick (Lead)


2020 -




Fully-Integrated Optoelectronic Interconnect Chip Design for Next-Generation Ethernet Systems Employing PAM4 Signaling


面向下一代以太網采用四電平脈沖幅度調制的CMOS全集成光電互聯芯片的研究與設計 Leading


Department of Science and Technology of Guangdong Province


Project Team (HKUST)
YUE Chik Patrick (Lead)


2019 -




Research on Fiber-Millimeter Wave Network Chips for Indoor High-Speed Communication


面向室內高速通信的光纖 - 毫米波網絡芯片研究 Leading


Innovation and Technology Fund


Project Team (HKUST)
YUE Chik Patrick (Lead)


2019 -




Microelectronics industry partnership program and Texas instruments fellowship Leading


Texas Instruments Hong Kong Limited


Project Team (HKUST)
YUE Chik Patrick (Lead)


2019 - 2021




Research on Failure Mechanism and Reliability of High Speed Integrated Circuit


高速集成電路失效機理及可靠性研究 Participating


Guangzhou Municipal Science and Technology Bureau


Project Team (HKUST)
YUE Chik Patrick


2019 - 2021




Research on the Reconfigurable Visible Laser Light Communication SoC integrating Transceiver and Receiver Modules towards High Speed Data Communication among Buildings


面向樓宇間高速數據傳輸網絡的可重構可見激光通信收發芯片的研究與設計 Leading


Shenzhen Science and Technology Innovation Committee


Project Team (HKUST)
YUE Chik Patrick (Lead)
ZHU Guang


2018 - 2020




System-on-chip design for internet-of-things applications in advanced CMOS technologies Leading


Brite Semiconductor (Shanghai) Corporation


Project Team (HKUST)
YUE Chik Patrick (Lead)


2015 - 2021




HKUST-Qualcomm Joint Innovation and Research Laboratory Leading


QUALCOMM Incorporated


Project Team (HKUST)
YUE Chik Patrick (Lead)


2013 -




State Key Laboratory of Advanced Displays and Optoelectronics Technologies (The Hong Kong University of Science and Technology)


先進顯示與光電子技術國家重點實驗室(香港科技大學) Participating


Innovation and Technology Fund


Project Team (HKUST)
WONG Man (Lead)
CHAN Che Ting
CHAN Man Sun
CHEN Kevin Jing
FAN Zhiyong
KWOK Hoi Sing
LAU Kei May
LEE Ricky Shi-wei
POON Andrew Wing On
QUAN Long
SHENG Ping
TSUI Chi Ying
WONG Kam Sing
YUAN George Jie
YUE Chik Patrick


2013 -






相关话题/香港科技大学 工学院