删除或更新信息,请邮件至freekaoyan#163.com(#换成@)

清华大学学者发表论文列表_刘勇攀

本站小编 清华大学/2017-06-29

1.A Ferroelectric Nonvolatile Processor with 46 mu s System-Level Wake-up Time and 14 mu s Sleep Time for Energy Harvesting Applications

Su, F, Liu, YP, Wang, YQ, Yang, HZ

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS[1549-8328], Published 2017, Volume 64, Issue 3, Pages 596-607

收录情况: WOS

影响因子: 2.393 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

2.Noise Margin Modeling for Zero-V-GS Load TFT Circuits and Yield Estimation

Zhao, QH, Liu, YP, Zhao, JQ, Guo, XJ, Li, HH, Yang, HZ

IEEE TRANSACTIONS ON ELECTRON DEVICES[0018-9383], Published 2016, Volume 63, Issue 2, Pages 684-690

收录情况: WOS SCOPUS

WOS核心合集引用:2 影响因子: 2.207 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

3.Storage-Less and Converter-Less Photovoltaic Energy Harvesting With Maximum Power Point Tracking for Internet of Things

Wang, YQ, Liu, YP, Wang, C, Li, ZW, Sheng, X, Lee, HG, Chang, N, Yang, HZ

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[0278-0070], Published 2016, Volume 35, Issue 2, Pages 173-186

收录情况: WOS SCOPUS

WOS核心合集引用:5 影响因子: 1.181 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

4.A C2RTL Framework Supporting Partition, Parallelization, and FIFO Sizing for Streaming Applications

Zhang, DM, Li, SC, Liu, YP, Hu, XS, He, XY, Zhang, YN, Zhang, P, Yang, HZ

ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS[1084-4309], Published 2016, Volume 21, Issue 2,

收录情况: WOS SCOPUS

影响因子: 0.82 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

5.Solar Power Prediction Assisted Intra-task Scheduling for Nonvolatile Sensor Nodes

Zhang, DM, Liu, YP, Li, JY, Xue, CJ, Li, XQ, Wang, Y, Yang, HZ

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[0278-0070], Published 2016, Volume 35, Issue 5, Pages 724-737

收录情况: WOS SCOPUS

WOS核心合集引用:4 影响因子: 1.181 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

6.A Unified Methodology for Designing Hardware Random Number Generators Based on Any Probability Distribution

Chen, XM, Li, BX, Wang, Y, Liu, YP, Yang, HZ

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS[1549-7747], Published 2016, Volume 63, Issue 8, Pages 783-787

收录情况: WOS SCOPUS

影响因子: 1.136 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

7.Modeling Random Telegraph Noise as a Randomness Source and its Application in True Random Number Generation

Chen, XM, Wang, L, Li, BX, Wang, Y, Li, X, Liu, YP, Yang, HZ

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[0278-0070], Published 2016, Volume 35, Issue 9, Pages 1435-1448

收录情况: WOS SCOPUS

WOS核心合集引用:1 影响因子: 1.181 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

8.NONVOLATILE PROCESSOR ARCHITECTURES: EFFICIENT, RELIABLE PROGRESS WITH UNSTABLE POWER

Ma, KS, Li, XQ, Swaminathan, K, Zheng, Y, Li, SC, Liu, YP, Xie, Y, Sampson, J, Narayanan, V

IEEE MICRO[0272-1732], Published 2016, Volume 36, Issue 3, Pages 72-83

收录情况: WOS SCOPUS

WOS核心合集引用:1 影响因子: 1.091 找找相关文章


-----------------------------------------------------------------

9.使用赛道存储单元的近阈值非易失SRAM

孙忆南,刘勇攀,杨华中

微电子学[1004-3365], Published 2016, Issue 03, Pages 398-401

收录情况: CNKI

找找相关文章


-----------------------------------------------------------------

10.4.7 A 65nm ReRAM-enabled nonvolatile processor with 6× reduction in restore time and 4× higher clock frequency using adaptive data retention and self-write-termination nonvolatile logic

Liu, Yongpan, Wang, Zhibo, Su, Fang, Yuan, Zhe, Wei, Qi, Wang, Yu, Yang, Huazhong, Lee, Albert, Lo, Chiehpu, Lin, Chienchen, King, Yachin, Lin, Chorngjung, Chang, Mengfan, Khalili, Pedram, Wang, Kanglung

Digest of Technical Papers - IEEE International Solid-State Circuits Conference[0193-6530], Published 2016, Volume 59, Pages 84-86

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

11.Performance-aware task scheduling for energy harvesting nonvolatile processors considering power switching overhead

Li, Hehe, Liu, Yongpan, Xiang, Donglai, Yue, Jinshan, Li, Jinyang, Zhang, Daming, Yang, Huazhong, Fu, Chenchen, Xue, Chunjason, Hu, Jingtong

Proceedings - Design Automation Conference[0738-100X], Published 2016, Volume 05-09-,

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

12.HW/SW co-design of nonvolatile IO system in energy harvesting sensor nodes for optimal data acquisition

Li, Zewei, Liu, Yongpan, Zhang, Daming, Wang, Zhangyuan, Shi, Xin, Sun, Wenyu, Yang, Huazhong, Shu, Jiwu, Xue, Chunjason

Proceedings - Design Automation Conference[0738-100X], Published 2016, Volume 05-09-,

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

13.Wear-Leveling Aware Page Management for Non-Volatile Main Memory on Embedded Systems

Pan, Chen, Gu, Shouzhen, Xie, Mimi, Hu, Jingtong, Liu, Yongpan, Xue, Chunjason

IEEE Transactions on Multi-Scale Computing Systems[2332-7766], Published 2016, Volume 2, Issue 2, Pages 129-142

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

14.Multi-source in-door energy harvesting for non-volatile processors

Ding, Caiwen, Wang, Yanzhi, Heidari, Soroush, Hu, Jingtong, Liu, Yongpan

Proceedings - IEEE International Symposium on Circuits and Systems[0271-4310], Published 2016, Volume 2016-, Pages 173-176

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

15.Accurate personal ultraviolet dose estimation with multiple wearable sensors

Li, Jinyang, Liu, Yongpan, Li, Hehe, Yang, Huazhong, Hua, Rui, Xue, Chunjason, Lee, Hyunggyu

BSN 2016 - 13th Annual Body Sensor Networks Conference, Published 2016, Pages 347-352

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

16.PRIME: A Novel Processing-in-Memory Architecture for Neural Network Computation in ReRAM-Based Main Memory

Chi, Ping, Li, Shuangchen, Xie, Yuan, Xu, Cong, Zhang, Tao, Zhao, Jishen, Liu, Yongpan, Wang, Yu

Proceedings - 2016 43rd International Symposium on Computer Architecture, ISCA 2016, Published 2016, Pages 27-39

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

17.A compare-and-select error tolerant scheme for nonvolatile processors

Wang, Zhibo, Liu, Yongpan, Yang, Huazhong, Hua, Rui

Proceedings of the 2016 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2016, Published 2016, Pages 21-22

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

18.Checkpoint aware hybrid cache architecture for NV processor in energy harvesting powered systems

Xie, Mimi, Pan, Chen, Hu, Jingtong, Zhao, Mengying, Li, Hehe, Liu, Yongpan, Zhang, Youtao, Xue, Chunjason

Proceedings of the 11th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES 2016, Published 2016,

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

19.NVPsim: A simulator for architecture explorations of nonvolatile processors

Gu, Yizi, Liu, Yongpan, Wang, Yiqun, Li, Hehe, Yang, Huazhong

Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, Published 2016, Volume 25-28-, Pages 147-152

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

20.Performance-centric register file design for GPUs using racetrack memory

Wang, Shuo, Liang, Yun, Zhang, Chao, Xie, Xiaolong, Sun, Guangyu, Li, Xiuhong, Liu, Yongpan, Wang, Yu

Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, Published 2016, Volume 25-28-, Pages 25-30

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

21.CP-FPGA: Computation data-aware software/hardware co-design for nonvolatile FPGAs based on checkpointing techniques

Yuan, Zhe, Liu, Yongpan, Li, Hehe, Yang, Huazhong

Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, Published 2016, Volume 25-28-, Pages 569-574

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

22.Dynamic converter reconfiguration for near-threshold non-volatile processors using in-door energy harvesting

Ding, Caiwen, Li, Hongjia, Wang, Yanzhi, Hu, Jingtong, Liu, Yongpan

Proceedings of the 34th IEEE International Conference on Computer Design, ICCD 2016, Published 2016, Pages 289-295

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

23.Design of nonvolatile processors and applications

Su, Fang, Wang, Zhibo, Li, Jinyang, Liu, Yongpan, Chang, Mengfan

2016 IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2016, Published 2016,

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

24.Multistage function speculation adders

Sun, Yinan,Liu, Yongpan,Wang, Zhibo,Yang, Huazhong

IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences[0916-8508], Published 2015, Volume E98A, Issue 4, Pages 954-965

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

25.Nonvolatile memory allocation and hierarchy optimization for high-level synthesis

Li, Shuangchen,Li, Shuangchen,Li, Ang,Liu, Yongpan,Xie, Yuan,Yang, Huazhong

20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015, Published 2015, Pages 166-171

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

26.近阈值标准单元库和其在传感网芯片中的应用

孙忆南,刘勇攀,王智博,杨华中

半导体技术[1003-353X], Published 2015, Issue 12, Pages 14-18+40

收录情况: CNKI

找找相关文章


-----------------------------------------------------------------

27.A high-efficiency dual-channel photovoltaic power system for nonvolatile sensor nodes

Sheng, Xiao,Wang, Cong,Liu, Yongpan,Lee, Hyung Gyu,Chang, Naehyuck,Yang, Huazhong

2014 IEEE Non-Volatile Memory Systems and Applications Symposium, NVMSA 2014, Published 2014,

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

28.Intra-task scheduling for storage-less and converter-less solar-powered nonvolatile sensor nodes

Zhang, Daming,Li, Shuangchen,Li, Ang,Liu, Yongpan,Hu, X. Sharon,Yang, Huazhong

2014 32nd IEEE International Conference on Computer Design, ICCD 2014, Published 2014, Pages 348-354

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

29.Pacc: a parallel compare and compress codec for area reduction in nonvolatile processors

Wang, Yiqun,Liu, Yongpan,Li, Shuangchen,Sheng, Xiao,Zhang, Daming,Chiang, Mei-Fang,Sai, Baiko,Hu, Xiaobo Sharon,Yang, Huazhong

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS[1063-8210], Published 2014, Volume 22, Issue 7, Pages 1491-1505

收录情况: WOS

WOS核心合集引用:19 影响因子: 1.245 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

30.Register allocation for hybrid register architecture in nonvolatile processors

Wang, Yiqun,Jia, Hongyang,Liu, Yongpan,Li, Qing'an,Xue, Chun Jason,Yang, Huazhong

2014 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)[0271-4302], Published 2014, Pages 1050-1053

收录情况: WOS

WOS核心合集引用:2 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

31.Storage-less and converter-less maximum power point tracking of photovoltaic cells for a nonvolatile microprocessor

Wang, Cong,Chang, Naehyuck,Kim, Younghyun,Park, Sangyoung,Liu, Yongpan,Lee, Hyung Gyu,Luo, Rong,Yang, Huazhong

2014 19TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC)[2153-6961], Published 2014, Pages 379-384

收录情况: WOS

WOS核心合集引用:18 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

32.An energy efficient fully integrated ook transceiver soc for wireless body area networks

Zhao, Bo,Sun, Yinan,Zou, Wei,Lian, Yong,Liu, Yongpan,Yang, Huazhong

PROCEEDINGS OF THE 2013 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE (A-SSCC), Published 2013, Pages 441-444

收录情况: WOS

WOS核心合集引用:3 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

33.Energy efficient A/D conversion for sequential wideband multichannel spectrum sensing in cognitive radio network

Liu, Zhengpeng,Liu, Yongpan,Yang, Huazhong

International Conference on Advanced Communication Technology, ICACT[1738-9445], Published 2013, Pages 580-585

找找相关文章


-----------------------------------------------------------------

34.Energy efficient aid conversion for sequential wideband multichannel spectrum sensing in cognitive radio network

Liu, Zhengpeng,Liu, Yongpan,Yang, Huazhong

2013 15TH INTERNATIONAL CONFERENCE ON ADVANCED COMMUNICATION TECHNOLOGY (ICACT)[1738-9445], Published 2013, Pages 580-585

收录情况: WOS

WOS核心合集引用:3 找找相关文章


-----------------------------------------------------------------

35.Optimal partition with block-level parallelization in C-to-RTL synthesis for streaming applications

Li, Shuangchen,Liu, Yongpan,Hu, X.Sharon,Xinyu He,Yining Zhang,Zhang, Pei,Yang, Huazhong

Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, Published 2013, Pages 225-230

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

36.SPaC: A segment-based parallel compression for backup acceleration in nonvolatile processors

Sheng, Xiao,Wang, Yiqun,Liu, Yongpan,Yang, Huazhong

Proceedings -Design, Automation and Test in Europe, DATE[1530-1591], Published 2013, Pages 865-868

找找相关文章


-----------------------------------------------------------------

37.Utilizing voltage-frequency islands in C-to-RTL synthesis for streaming applications

He, Xinyu,Li, Shuangchen,Liu, Yongpan,Hu, X. Sharon,Yang, Huazhong

Proceedings -Design, Automation and Test in Europe, DATE[1530-1591], Published 2013, Pages 992-995

找找相关文章


-----------------------------------------------------------------

38.A 3us wake-up time nonvolatile processor based on ferroelectric flip-flops

Wang, Yiqun,Liu, Yongpan,Li, Shuangchen,Zhang, Daming,Zhao, Bo,Chiang, Mei-Fang,Yan, Yanxin,Sai, Baiko,Yang, Huazhong

European Solid-State Circuits Conference[1930-8833], Published 2012, Pages 149-152

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

39.A compression-based area-efficient recovery architecture for nonvolatile processors

Wang, Yiqun,Liu, Yongpan,Liu, Yumeng,Zhang, Daming,Li, Shuangchen,Sai, Baiko,Chiang, Mei-Fang,Yang, Huazhong

Proceedings -Design, Automation and Test in Europe, DATE[1530-1591], Published 2012, Pages 1519-1524

找找相关文章


-----------------------------------------------------------------

40.A hierarchical c2rtl framework for FIFO-Connected stream applications

Li, Shuangchen,Liu, Yongpan,Zhang, Daming,He, Xinyu,Zhang, Pei,Yang, Huazhong

2012 17TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC)[2153-6961], Published 2012, Pages 133-138

收录情况: WOS

WOS核心合集引用:3 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

41.A Low-Complexity Symbol-Level differential detection scheme for ieee 802.15.4 O-QPSK signals

Wang, Cong,Liu, Yongpan,Luo, Rong,Yang, Huazhong

2012 INTERNATIONAL CONFERENCE ON WIRELESS COMMUNICATIONS AND SIGNAL PROCESSING (WCSP 2012)[2325-3746], Published 2012, Pages -

收录情况: WOS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

42.An energy harvesting nonvolatile sensor node and its application to distributed moving object detection

Liu, Yongpan,Wang, Yiqun,Jia, Hongyang,Su, Shan,Wen, Jinghuan,Zhang, Wenzhu,Zhang, Lin,Yang, Huazhong

IPSN'12 - Proceedings of the 11th International Conference on Information Processing in Sensor Networks, Published 2012, Pages 149-150

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

43.Demo abstract: an energy harvesting nonvolatile sensor node and its application to distributed moving object detection

Liu, Yongpan,Wang, Yiqun,Jia, Hongyang,Su, Shan,Wen, Jinghuan,Zhang, Wenzhu,Zhang, Lin,Yang, Huazhong

IPSN'12: PROCEEDINGS OF THE 11TH INTERNATIONAL CONFERENCE ON INFORMATION PROCESSING IN SENSOR NETWORKS, Published 2012, Pages 149-150

收录情况: WOS

WOS核心合集引用:1 找找相关文章


-----------------------------------------------------------------

44.Lifetime-Aware battery allocation for wireless sensor network under cost constraints

Liu, Yongpan,Wang, Yiqun,Long, Hengyu,Yang, Huazhong

IEICE TRANSACTIONS ON COMMUNICATIONS[0916-8516], Published 2012, Volume E95B, Issue 5, Pages 1651-1660

收录情况: WOS

WOS核心合集引用:2 影响因子: 0.3 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

45.Utilizing pcm for energy optimization in embedded systems

Shao, Zili,Liu, Yongpan,Chen, Yiran,Li, Tao

2012 IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI (ISVLSI), Published 2012, Pages 398-403

收录情况: WOS

WOS核心合集引用:16 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

46.An energy efficient sensor network processor with Latency-Aware adaptive compression

Liu, Yongpan,Li, Shuangchen,Wang, Jue,Ying, Beihua,Yang, Huazhong

IEICE TRANSACTIONS ON ELECTRONICS[0916-8524], Published 2011, Volume E94C, Issue 7, Pages 1220-1228

收录情况: WOS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

47.Design methodology of multistage Time-domain logic speculation circuits

Sun, Yinan,Liu, Yongpan,Wang, Xiaohan,Xu, Hongliang,Yang, Huazhong

2011 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)[0271-4302], Published 2011, Pages 1944-1947

收录情况: WOS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

48.Influence of node dynamics on cluster global time continuity

Li, Li,Liu, Yongpan,Yang, Huazhong,Wang, Hui

Tsinghua Science and Technology[1007-0214], Published 2011, Volume 16, Issue 2, Pages 207-215

影响因子: 1.063 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

49.A compare-and-write ferroelectric nonvolatile flip-flop for energy-harvesting applications

Wang, Jue,Liu, Yongpan,Yang, Huazhong,Wang, Hui

1st International Conference on Green Circuits and Systems, ICGCS 2010, Published 2010, Pages 646-650

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

50.Design methodology of variable latency adders with multistage function speculation

Liu, Yongpan,Sun, Yinan,Zhu, Yihao,Yang, Huazhong

Proceedings of the 11th International Symposium on Quality Electronic Design, ISQED 2010, Published 2010, Pages 824-830

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

51.Evaluation of Tunable Data Compression in Energy-Aware Wireless Sensor Networks

Ying, Beihua,Liu, Yongpan,Yang, Huazhong,Wang, Hui

SENSORS[1424-8220], Published 2010, Volume 10, Issue 4, Pages 3195-3217

收录情况: WOS

WOS核心合集引用:1 影响因子: 2.033 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

52.Improved adaptive compression arbitration system for wireless sensor networks

Ying, Beihua,Liu, Yongpan,Wang, Hui

Tsinghua Science and Technology[1007-0214], Published 2010, Volume 15, Issue 2, Pages 202-208

影响因子: 1.063 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

53.Lightweight Precision-Adaptive time synchronization in wireless sensor networks

Li, Li,Liu, Yongpan,Yang, Huazhong,Wang, Hui

IEICE TRANSACTIONS ON COMMUNICATIONS[0916-8516], Published 2010, Volume E93B, Issue 9, Pages 2299-2308

收录情况: WOS

WOS核心合集引用:3 影响因子: 0.3 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

54.Temperature-Aware leakage estimation using piecewise linear power models

Liu, Yongpan,Yang, Huazhong

IEICE TRANSACTIONS ON ELECTRONICS[0916-8524], Published 2010, Volume E93C, Issue 12, Pages 1679-1691

收录情况: WOS

WOS核心合集引用:6 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

55.无线传感器网络分布式一致时间同步协议的收敛分析及加速设计

李立,刘勇攀,杨华中,汪蕙

电子与信息学报[1009-5896], Published 2010, Issue 09, Pages 17-23

收录情况: CNKI

找找相关文章


-----------------------------------------------------------------

56.An Ultra-Low-Supply Dual-Band vco for wireless sensor networks

Zhao, Bo,Liu, Yongpan,Chen, Pengpeng,Chen, Tao,Yang, Huazhong,Wang, Hui

2009 INTERNATIONAL CONFERENCE ON COMMUNICATIONS, CIRCUITS AND SYSTEMS PROCEEDINGS, VOLUMES I & II, Published 2009, Pages 797-801

收录情况: WOS

WOS核心合集引用:1 找找相关文章


-----------------------------------------------------------------

57.Battery allocation for wireless sensor network lifetime maximization under cost constraints

Long, Hengyu,Liu, Yongpan,Wang, Yiqun,Dick, Robert P.,Yang, Huazhong

IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD[1092-3152], Published 2009, Pages 705-712

找找相关文章


-----------------------------------------------------------------

58.Energy efficient architecture of sensor network node based on compression accelerator

Wang, Jue,Ying, Beihua,Liu, Yongpan,Yang, Huazhong,Wang, Hui

GLSVLSI 2009: PROCEEDINGS OF THE 2009 GREAT LAKES SYMPOSIUM ON VLSI, Published 2009, Pages 117-120

收录情况: WOS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

59.Energy-efficient Node-level compression arbitration for wireless sensor networks

Ying, Beihua,Liu, Wei,Liu, Yongpan,Yang, Huazhong,Wang, Hui

11TH INTERNATIONAL CONFERENCE ON ADVANCED COMMUNICATION TECHNOLOGY, VOLS I-III, PROCEEDINGS,[1738-9445], Published 2009, Volume 1, Pages 564-568

收录情况: WOS

找找相关文章


-----------------------------------------------------------------

60.Energy-Efficient Spatially-Adaptive clustering and routing in wireless sensor networks

Long, Hengyu,Liu, Yongpan,Fan, Xiaoguang,Dick, Robert P.,Yang, Huazhong

DATE: 2009 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION, VOLS 1-3[1530-1591], Published 2009, Pages 1267-1272

收录情况: WOS

WOS核心合集引用:2 找找相关文章


-----------------------------------------------------------------

61.A precision adaptive average time synchronization protocol in wireless sensor networks

Li, Li,Liu, Yongpan,Yang, Huazhong,Wang, Hui

2008 INTERNATIONAL CONFERENCE ON INFORMATION AND AUTOMATION, VOLS 1-4, Published 2008, Pages 65-70

收录情况: WOS

WOS核心合集引用:2 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

62.Low noise fully digitally controlled LC oscillator with back-to-back in series MOS varactors

Wang, Shaohua,Yu, Guangming,Liu, Yongpan,Yang, Huazhong

Qinghua Daxue Xuebao/Journal of Tsinghua University[1000-0054], Published 2008, Volume 48, Issue 7, Pages 1123-1126

找找相关文章


-----------------------------------------------------------------

63.基于马尔可夫-蒙特卡洛采样的电源网络分析

田志新,刘勇攀,杨华中,汪蕙

微电子学与计算机[1000-7180], Published 2008, Issue 12, Pages 7-10

收录情况: CNKI

找找相关文章


-----------------------------------------------------------------

64.使用背靠背MOS变容管的低噪声全数控LC振荡器

王少华,于光明,刘勇攀,杨华中

清华大学学报(自然科学版)[1000-0054], Published 2008, Issue 07, Pages 57-60

收录情况: CNKI

找找相关文章


-----------------------------------------------------------------

65.基于混合遗传算法的输入向量控制漏电优化

田志新,刘勇攀,杨华中,汪蕙

微电子学[1004-3365], Published 2008, Issue 05, Pages 46-49+67

收录情况: CNKI

找找相关文章


-----------------------------------------------------------------

66.A hybrid genetic algorithm with critical primary inputs sharing and minor primary inputs bits climbing for circuit maximum power estimation

Tian, Zhixin,Liu, Yongpan,Yang, Huazhong,Wang, Hui

ICNC 2007: Third International Conference on Natural Computation, Vol 4, Proceedings, Published 2007, Volume 4, Pages 183-187

收录情况: WOS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

67.A thermal-conscious integrated circuit power model and its impact on dynamic voltage scaling techniques

Liu, Yongpan,Yang, Huazhong,Wang, Hui

Pan Tao Ti Hsueh Pao/Chinese Journal of Semiconductors[0253-4177], Published 2007, Volume 28, Issue 4, Pages 530-536

找找相关文章


-----------------------------------------------------------------

68.Accurate temperature-dependent integrated circuit leakage power estimation is easy

Liu, Yongpan,Dickt, Robert P.,Shang, Li,Yang, Huazhong

2007 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION, VOLS 1-3[1530-1591], Published 2007, Pages 1526-1531

收录情况: WOS

WOS核心合集引用:46 找找相关文章


-----------------------------------------------------------------

69.Leakage power modeling method for SRAM considering temperature, supply voltage and bias voltage

Zhang, Feng,Luo, Rong,Liu, Yongpan,Wang, Hui,Yang, Huazhong

ICSICT-2006: 2006 8th International Conference on Solid-State and Integrated Circuit Technology, Proceedings, Published 2007, Pages 1180-1182

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

70.Low noise, low power fully digitally controlled LC oscillator for PHS transceivers

Wang, Shaohua,Yu, Guangming,Liu, Yongpan,Yang, Huazhong

Pan Tao Ti Hsueh Pao/Chinese Journal of Semiconductors[0253-4177], Published 2007, Volume 28, Issue 11, Pages 1836-1843

找找相关文章


-----------------------------------------------------------------

71.Thermal vs energy optimization for DVFS-enabled processors in embedded systems

Liu, Yongpan,Yang, Huazhong,Dick, Robert P.,Wang, Hui,Shang, Li

ISQED 2007: Proceedings of the Eighth International Symposium on Quality Electronic Design, Published 2007, Pages 204-209

收录情况: WOS

WOS核心合集引用:17 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

72.Thermal-aware floorplanning considering empty space effect based on genetic algorithms

Liu Yongpan,Yang Huazhong,Luo Rong,Wang Hui

CHINESE JOURNAL OF ELECTRONICS[1022-4653], Published 2007, Volume 16, Issue 3, Pages 429-434

收录情况: WOS

影响因子: 0.319 找找相关文章


-----------------------------------------------------------------

73.一种热感集成电路功耗模型及其对动态电压调整技术的影响(英文)

刘勇攀,杨华中,汪蕙

半导体学报[0253-4177], Published 2007, Issue 04, Pages 62-68

收录情况: CNKI

找找相关文章


-----------------------------------------------------------------

74.基于最大电源噪声门级模型的遗传算法电源噪声估计(英文)

田志新,刘勇攀,杨华中

半导体学报[0253-4177], Published 2007, Issue 09, Pages 45-50

收录情况: CNKI

找找相关文章


-----------------------------------------------------------------

75.一个应用于PHS系统的低噪声低功耗全数控LC振荡器

王少华,于光明,刘勇攀,杨华中

半导体学报[0253-4177], Published 2007, Issue 11, Pages 178-185

收录情况: CNKI

找找相关文章


-----------------------------------------------------------------

76.A new thermal-conscious system-level methodology for energy-efficient processor voltage selection

Liu, Yongpan,Wang, Yu,Zhang, Feng,Luo, Rong

2006 IEEE Asia Pacific Conference on Circuits and Systems, Published 2006, Pages 968-971

收录情况: WOS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

77.Combining genetic algorithms based task mapping and optimal voltage selection for energy-efficient distributed system synthesis

Liu, Yongpan,Yang, Huazhong,Luo, Rong,Wang, Hui

2006 INTERNATIONAL CONFERENCE ON COMMUNICATIONS, CIRCUITS AND SYSTEMS PROCEEDINGS, VOLS 1-4: VOL 1: SIGNAL PROCESSING, Published 2006, Volume 3, Pages 2074-2078

收录情况: WOS

WOS核心合集引用:1 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

78.Genetic algorithm based fine-grain Sleep Transistor Insertion technique for leakage optimization

Wang, Yu,Liu, Yongpan,Luo, Rong,Yang, Huazhong

ADVANCES IN NATURAL COMPUTATION, PT 1[0302-9743], Published 2006, Volume 4221, Pages 716-725

收录情况: WOS

找找相关文章


-----------------------------------------------------------------

79.Two-phase fine-grain sleep transistor insertion technique in leakage critical circuits

Wang, Yu,Liu, Yongpan,Luo, Rong,Yang, Huazhong,Wang, Hui

ISLPED '06: Proceedings of the 2006 International Symposium on Low Power Electronics and Design[1533-4678], Published 2006, Volume 2006, Pages 238-243

收录情况: WOS

WOS核心合集引用:1 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

80.A hierarchical approach for incremental floorplan based on genetic algorithms

Liu, YP,Yang, HZ,Luo, R,Wang, H

ADVANCES IN NATURAL COMPUTATION, PT 3, PROCEEDINGS[0302-9743], Published 2005, Volume 3612, Issue PART III, Pages 219-224

收录情况: WOS

WOS核心合集引用:1 找找相关文章


-----------------------------------------------------------------

81.异步电路的设计方法及其应用

刘勇攀,罗嵘,史峥,杨华中,汪蕙,严晓浪

微电子学[1004-3365], Published 2002, Issue 06, Pages 58-62

收录情况: CNKI

找找相关文章

相关话题/清华大学 学者 列表