删除或更新信息,请邮件至freekaoyan#163.com(#换成@)

香港科技大学工学院老师教师导师介绍简介-Tim Kwang-Ting CHENG

本站小编 Free考研考试/2022-01-30

Tim Kwang-Ting CHENG
鄭光廷
PhD in Electrical Engineering and Computer Sciences
University of California, Berkeley, 1988

Dean of Engineering

Chair Professor
Department of Computer Science and Engineering
Department of Electronic and Computer Engineering

Director of HKUST-WeBank Joint Lab



(852) 2358 6952
timcheng@ust.hk
Room 6548
Personal Web

Google Scholar
-SgpaF8AAAAJ

ORCID
0000-0002-3885-4912

Scopus ID
7402997957




Research Interest Publications Projects Teaching Assignment RPG Supervision Space used




Research Interest
Very large-scale integration (VLSI)
Medical image analysis
Computer vision
Mobile computing
Electronic design automation



Publications
All Years 559 2022 0 2021 14 2020 17 2019 24 2018 18 2017 16 2016 470





2021 14

Energy Efficiency and Yield Optimization for Optical Interconnects via Transceiver Grouping
Journal of Lightwave Technology, v. 39, (6), March 2021, article number 9265224, p. 1567-1578
Wang, Yuyang; Sun, Peng; Hulme, Jared; Seyedi, M. Ashkan; Fiorentino, Marco; Beausoleil, Raymond G.; Cheng, Kwang Ting Article
Fast Depth Prediction and Obstacle Avoidance on a Monocular Drone Using Probabilistic Convolutional Neural Network
IEEE Transactions on Intelligent Transportation Systems, v. 22, (1), January 2021, article number 8924655, p. 156-167
Yang, Xin; Chen, Jingyu; Dang, Yuanjie; Luo, Hongcheng; Tang, Yuesheng; Liao, Chunyuan; Chen, Peng; Cheng, Kwang Ting Article
Joint Multi-Dimension Pruning via Numerical Gradient Update
IEEE Transactions on Image Processing, v. 30, September 2021
Liu, Zechun; Zhang, Xiangyu; Shen, Zhiqiang; Wei, Yichen; Cheng, Kwang Ting; Sun, Jian Article
One-Shot Imitation Drone Filming of Human Motion Videos
IEEE Transactions on Pattern Analysis and Machine Intelligence, 18 March 2021, article number 9381626
Huang, Chong; Dang, Yuanjie; Chen, Peng; Yang, Xin; Cheng, Kwang Ting Article
R2F: A Remote Retraining Framework For AIoT Processors With Computing Errors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 29, (11), 26 July 2021, p. 1955-1966
Xu, Dawen; He, Meng; Liu, Cheng; Wang, Ying; Cheng, Long; Li, Huawei; Li, Xiaowei; Cheng, Kwang Ting Article
Ratio-based multi-level resistive memory cells
Scientific Reports, v. 11, (1), January 2021, article number 1351
Lastras-Monta?o, Miguel Angel; Del Pozo-Zamudio, Osvaldo; Glebsky, Lev; Zhao, Meiran; Wu, Huaqiang; Cheng, Kwang Ting Article
Reliability Evaluation and Analysis of FPGA-Based Neural Network Acceleration System
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 29, (3), March 2021, article number 9316989, p. 472-484
Xu, Dawen; Zhu, Ziyang; Liu, Cheng; Wang, Ying; Zhao, Shuang; Zhang, Lei; Liang, Huaguo; Li, Huawei; Cheng, Kwang Ting Article
The 2021 flexible and printed electronics roadmap
Flexible and Printed Electronics, v. 6, (2), June 2021, article number 023001
Cheng, Kwang Ting Article
Exploring intermediate representation for monocular vehicle pose estimation
IEEE/CVF Conference on Computer Vision and Pattern Recognition, CVPR 2021, Virtual, 19-25 June 2021
Li, Shichao; Yan, Zengqiang; Li, Hongyang; Cheng, Kwang Ting Conference paper
High-fidelity and Large-Area Flexible Hybrid Sensing System (Invited)
FLEPS 2021 - IEEE International Conference on Flexible and Printable Sensors and Systems, June 2021 , article number 9469809
Shao, Leilai; Cheng, Kwang Ting Conference paper
How Do Adam and Training Strategies Help BNNs Optimization?
International Conference on Machine Learning, v. 139, July 2021
Liu, Zechun; Shen, Zhiqiang; Li, Shichao; Helwegen, Koen; Huang, Dong; Cheng, Kwang Ting Conference paper
Partial Is Better Than All: Revisiting Fine-tuning Strategy for Few-shot Learning
Proceedings of the AAAI Conference on Artificial Intelligence, v. 35, (11), 2021, article number 17155, p. 9594-9602
Shen, Zhiqiang; Liu, Zechun; Qin, Jie; Savvides, Marios; Cheng, Kwang Ting Conference paper
S2-BNN: Bridging the Gap Between Self-Supervised Real and 1-Bit Neural Networks via Guided Distribution Calibration
IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR), Virtual, 19 - 25 June 2021
Shen, Zhiqiang; Liu, Zechun; Qin, Jie; Huang, Lei; Cheng, Kwang Ting; Savvides, Marios Conference paper
Towards Robust Dual-View Transformation via Densifying Sparse Supervision for Mammography Lesion Matching
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 12905 LNCS, September 2021, p. 355-365
Xian, Junlin; Wang, Zhiwei; Cheng, Kwang Ting; Yang, Xin Conference paper

2020 17

Bi-modality Medical Image Synthesis using Semi-supervised Sequential Generative Adversarial Networks
IEEE Journal of Biomedical and Health Informatics, v. 24, (3), March 2020, article number 8736809, p. 855-865
Yang, Xin; Lin, Yi; Wang, Zhiwei; Li, Xin; Cheng, Kwang-Ting Tim Article
Bi-Real Net: Binarizing Deep Network Towards Real-Network Performance
International Journal of Computer Vision, v. 128, (1), January 2020, p. 202-219
Liu, Zechun; Luo, Wenhan; Wu, Baoyuan; Yang, Xin; Liu, Wei; Cheng, Kwang Ting Article
Enabling a Single Deep Learning Model for Accurate Gland Instance Segmentation: A Shape-Aware Adversarial Learning Framework
IEEE Transactions on Medical Imaging, v. 39, (6), June 2020, article number 8959297, p. 2176-2189
Yan, Zengqiang; Yang, Xin; Cheng, Kwang Ting Article
Imitation Learning-based Algorithm for Drone Cinematography System
IEEE Transactions on Cognitive and Developmental Systems, 09 December 2020
Dang, Yuanjie; Huang, Chong; Chen, Peng; Liang, Ronghua; Yang, Xin; Cheng, Kwang Ting Article
Multi-task Siamese Network for Retinal Artery/Vein Separation via Deep Convolution along Vessel
IEEE Transactions on Medical Imaging, v. 39, (9), September 2020, article number 9032204, p. 2904-2919
Wang, Zhiwei; Jiang, Xixi; Liu, Jingen; Cheng, Kwang Ting; Yang, Xin Article
Process design kit and design automation for flexible hybrid electronics
Journal of the Society for Information Display, v. 28, (3), March 2020, p. 241-251
Huang, Tsung-Ching; Lei, Ting; Shao, Leilai; Sivapurapu, Sridhar; Swaminathan, Madhavan; Bao, Zhenan; Cheng, Kwang Ting; Beausoleil, Raymond Article
Roadmap on emerging hardware and technology for machine learning
Nanotechnology, v. 32, (1), 19 October 2020, article number 012002
Berggren, Karl; Xia, Qiangfei; Likharev, Konstantin K.; Strukov, Dmitri B.; Jiang, Hao; Mikolajick, Thomas; Querlioz, Damien; Salinga, Martin; Erickson, John R.; Pi, Shuang; Xiong, Feng; Lin, Peng; Li, Can; Chen, Yu; Xiong, Shisheng; Hoskins, Brian D.; Daniels, Matthew W.; Cheng, Kwang Ting; Gong, Nanbo; Lastras-monta?o, Miguel Angel Article
Semi-supervised mp-MRI Data Synthesis with StitchLayer and Auxiliary Distance Maximization
Medical Image Analysis, v. 59, January 2020, article number 101565
Wang, Zhiwei; Lin, Yi; Cheng, Kwang Ting; Yang, Xin Article
Variation-Aware Federated Learning with Multi-Source Decentralized Medical Image Data
IEEE Journal of Biomedical and Health Informatics, v. 25, (7), July 2020, p. 2615-2628
Yan, Zengqing; Wicaksana, Jeffry; Wang, Zhiwei; Yang, Xin; Cheng, Kwang Ting Article
A Hybrid Computing Architecture for Fault-tolerant Deep Learning Accelerators
Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors, v. 2020-October, October 2020, article number 9283550, p. 478-485
Xu, Dawen; Chu, Cheng; Wang, Qianlong; Liu, Cheng; Wang, Ying; Zhang, Lei; Liang, Huaguo; Cheng, Kwang Ting Conference paper
Binarizing MobileNet via Evolution-Based Searching
Proceedings: IEEE/CVF Conference on Computer Vision and Pattern Recognition CVPR 2020 / The Institute of Electrical and Electronics Engineers, Inc.. Piscataway, NJ : The Institute of Electrical and Electronics Engineers, Inc., 2020, p. 13417-13426, Article number 9156315
Phan, Hai; Liu, Zechun; Huynh, Dang; Savvides, Marios; Cheng, Kwang-Ting Tim; Shen, Zhiqiang Conference paper
Cascaded Deep Monocular 3D Human Pose Estimation With Evolutionary Training Data
Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition / The Institute of Electrical and Electronics Engineers, Inc.. New York, NY, USA : The Institute of Electrical and Electronics Engineers, Inc., 2020, p. 6172-6182, Article number 9156273
Li, Shichao; Ke, Lei; Pratama, Kevin; Tai, Yu Wing; Tang, Chi Keung; Cheng, Kwang Ting Conference paper
Characterization and applications of spatial variation models for silicon microring-based optical transceivers
Proceedings - Design Automation Conference, v. 2020-July, July 2020, article number 9218608
Wang, Yuyang; Hulme, Jared; Sun, Peng; Jain, Mudit; Seyedi, M. Ashkan; Fiorentino, Marco; Beausoleil, Raymond G.; Cheng, Kwang Ting Conference paper
Multi-phase and Multi-level Selective Feature Fusion for Automated Pancreas Segmentation from CT Images
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 12264 LNCS, 2020, p. 460-469
Jiang, Xixi; Luo, Qingqing; Wang, Zhiwei; Mei, Tao; Wen, Yu; Li, Xin; Cheng, Kwang Ting; Yang, Xin Conference paper
Persistent fault analysis of neural networks on FPGA-based acceleration system
2020 IEEE 31st International Conference on Application-specific Systems, Architectures and Processors (ASAP) / IEEE. New York, NY, USA : IEEE, 2020, p. 85-92, Article number 9153244
Xu, Dawen; Zhu, Ziyang; Liu, Cheng; Wang, Ying; Li, Huawei; Zhang, Lei; Cheng, Kwang Ting Conference paper
ReActNet: Towards Precise Binary Neural Network with Generalized Activation Functions
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 12359 LNCS, 2020, p. 143-159
Liu, Zechun; Shen, Zhiqiang; Savvides, Marios; Cheng, Kwang Ting Conference paper
Robust design of large area flexible electronics via compressed sensing
Proceedings - Design Automation Conference, v. 2020-July, July 2020, article number 9218570
Shao, Leilai; Lei, Ting; Huang, Tsung-Ching; Bao, Zhenan; Cheng, Kwang Ting Conference paper

2019 24

A Three-stage Deep Learning Model for Accurate Retinal Vessel Segmentation
IEEE Journal of Biomedical and Health Informatics, v. 23, (4), July 2019, article number 8476171, p. 1427-1436
Yan, Zengqiang; Yang, Xin; Cheng, Kwang Ting Article
A Two-Stage Convolutional Neural Network for Pulmonary Embolism Detection From CTPA Images
IEEE Access, v. 7, 2019, article number 8746218, p. 84849-84857
Yang, Xin; Lin, Yi; Su, Jianchao; Wang, Xiang; Li, Xiang; Lin, Jingen; Cheng, Kwang Ting Article
Bayesian DeNet: Monocular Depth Prediction and Frame-wise Fusion with Synchronized Uncertainty
IEEE Transactions on Multimedia, v. 21, (11), November 2019, article number 8693882, p. 2701-2713
Yang, Xin; Gao, Yang; Luo, Hongcheng; Liao, Chunyuan; Cheng, Kwang Ting Article
Compact Modeling of Thin-Film Transistors for Flexible Hybrid IoT Design
IEEE Design & Test, v. 36, (4), August 2019, article number 8640824, p. 6-14
Shao, Leilai; Lei, Ting; Huang, Tsung-Ching; Li, Sicheng; Chu, Ta Ya; Wong, Man; Beausoleil, Raymond; Bao, Zhenan; Cheng, Kwang-Ting Tim Article
Low-voltage High-performance Flexible Digital and Analog Circuits Based on Ultrahigh-purity Semiconducting Carbon Nanotubes
Nature Communications, v. 10, (1), May 2019, article number 2161
Lei, Ting; Shao, Lei-Lai; Zheng, Yu-Qing; Pitner, Gregory; Fang, Guanhua; Zhu, Chenxin; Li, Sicheng; Beausoleil, Ray; Wong, H-S Philip; Huang, Tsung-Ching; Cheng, Kwang Ting; Bao, Zhenan Article
Process Design Kit and Design Automation for Flexible Hybrid Electronics
Journal of Microelectronics and Electronic Packaging, v. 16, (3), July 2019, p. 117-123
Huang, Tsung-Ching; Lei, Ting; Shao, Leilai; Sivapurapu, Sridhar; Swaminathan, Madhavan; Bao, Zhenan; Cheng, Kwang Ting; Beausoleil, Raymond Article
Reactive obstacle avoidance of monocular quadrotors with online adapted depth prediction network
Neurocomputing, v. 325, January 2019, p. 142-158
Yang, Xin; Luo, Hongcheng; Wu, Yuhao; Gao, Yang; Liao, Chunyuan; Cheng, Kwang Ting Article
Real-Time Dense Monocular SLAM With Online Adapted Depth Prediction Network
IEEE Transactions on Multimedia, v. 21, (2), February 2019, article number 8418760, p. 470-483
Luo, Hongcheng; Gao, Yang; Wu, Yuhao; Liao, Chunyuan; Yang, Xin; Cheng, Kwang Ting Article
Real-time Semantic Plane Reconstruction on a Monocular Drone Using Sparse Fusion
IEEE Transactions on Vehicular Technology, v. 68, (8), August 2019, article number 8741095, p. 7383-7391
Dang, Yuanjie; Chen, Peng; Liang, Ronghua; Huang, Chong; Tang, Yuesheng; Yu, Tianwei; Yang, Xin; Cheng, Kwang-Ting Tim Article
Automated Pulmonary Embolism Detection from CTPA Images Using an End-to-End Convolutional Neural Network
International Conference on Medical Image Computing and Computer-Assisted Intervention, v. 11767 LCNS, 2019, p. 280-288, Part of the Lecture Notes in Computer Science book series (LNCS, volume 11767)
Lin, Yi; Su, Jianchao; Wang, Xiang; Li, Xiang; Liu, Jingen; Cheng, Kwang Ting; Yang, Xin Conference paper
Bidirectional tuning of microring-based silicon photonic transceivers for optimal energy efficiency
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, New York, USA : Institute of Electrical and Electronics Engineers Inc., 2019, p. 370-375
Wang, Yuyang; Ashkan Seyedi, M.; Hulme, Jared C.; Fiorentino, Marco; Beausoleil, Raymond G.; Cheng, Kwang Ting Conference paper
Evaluating Assertion Set Completeness to Expose Hardware Trojans and Verification Blindspots
Proceedings of the 2019 Design, Automation and Test in Europe Conference and Exhibition, DATE 2019, May 2019, article number 8714883, p. 402-407
Fern, Nicole; Cheng, Kwang Ting Conference paper
Latent weights do not exist: Rethinking binarized neural network optimization
Advances in Neural Information Processing Systems, v. 32, 2019
Helwegen, Koen; Widdicombe, James; Geiger, Lukas; Liu, Zechun; Cheng, Kwang Ting; Nusselder, Roeland Conference paper
Learning to Capture a Film-Look Video with a Camera Drone
Proceedings of IEEE International Conference on Robotics and Automation, v. 2019-May, May 2019, article number 8793915, p. 1871-1877
Huang, Chong; Yang, Zhenyu; Kong, Yan; Chen, Peng; Yang, Xin; Cheng, Kwang-Ting Tim Conference paper
Learning to Film from Professional Human Motion Videos
Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition, v. 2019-June, June 2019, article number 8953663, p. 4239-4248
Huang, Chong; Lin, Chuan-en; Yang, Zhenyu; Kong, Yan; Chen, Peng; Yang, Xin; Cheng, Kwang-Ting Tim Conference paper
MetaPruning: Meta Learning for Automatic Neural Network Channel Pruning
Proceedings of the IEEE International Conference on Computer Vision / IEEE. Piscataway, NJ : IEEE, 2019, p. 3295-3304
Liu, Zechun; Mu, Haoyuan; Zhang, Xiangyu; Guo, Zichao; Yang, Xin; Cheng, Kwang Ting; Sun, Jian Conference paper
Pre-silicon Formal Verification of JTAG Instruction Opcodes for Security
Proceedings - International Test Conference, v. 2018-October, January 2019, article number 8624739
Fern, Nicole; Cheng, Kwang Ting Conference paper
Process design kit and design automation for flexible hybrid electronics
Digest of Technical Papers - SID International Symposium, v. 50, May 2019, article number 16-4, p. 217-220, Book 1: Session 16: Flexible TFTs
Huang, Tsung Ching; Lei, Ting; Shao, Leilai; Sivapurapu, Srudhar; Swaminathan, Madhavan; Li, Sicheng; Bao, Zhenan; Cheng, Kwang Ting; Beausoleil, Raymond Conference paper
Process Design Kit and Design Automation for Flexible Hybrid Electronics
2019 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2019, April 2019, article number 8741745
Huang, Tsung-Ching; Lei, Ting; Shao, Leilai; Sivapurapu, Sridhar; Swaminathan, Madhavan; Li, Sicheng; Bao, Zhenan; Cheng, Kwang Ting; Beausoleil, Raymond Conference paper
Process Design Kit and Design Automation for Flexible Hybrid Electronics
Proceedings of the 2019 Design, Automation and Test in Europe Conference and Exhibition, DATE 2019, May 2019, article number 8714975, p. 36-41
Huang, Tsung-Ching; Lei, Ting; Shao, Leilai; Sivapurapu, Sridhar; Swaminathan, Madhavan; Li, Sicheng; Bao, Zhenan; Cheng, Kwang Ting; Beausoleil, Raymond Conference paper
Taming Emerging Devices' Variation and Reliability Challenges with Architectural and System Solutions [Invited]
IEEE International Conference on Microelectronic Test Structures, v. 2019-March, March 2019, article number 8730924, p. 90-95
Wang, Yuyang; Shao, Leilai; Lastras-monta?o, Miguel Angel; Cheng, Kwang Ting Conference paper
Task mapping-assisted laser power scaling for optical network-on-chips
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, v. 2019-November, November 2019, article number 8942146
Wang, Yuyang; Cheng, Kwang Ting Conference paper
Ultra-thin Skin Electronics for High Quality and Continuous Skin-Sensor-Silicon Interfacing
Proceedings - Design Automation Conference, June 2019, article number a16
Shao, Leilai; Li, Sicheng; Lei, Ting; Huang, Tsung Ching; Beausoleil, Raymond; Bao, Zhenan; Cheng, Kwang-Ting Tim Conference paper
Visualizing the Decision-making Process in Deep Neural Decision Forest
IEEE Conference on Computer Vision and Pattern Recognition Workshop (CVPRW 2019)
Li, Shichao; Cheng, Kwang Ting Conference paper

2018 18

A Lightweight Piecewise Linear Synthesis Method for Standard 12-lead ECG Signals Based on Adaptive Region Segmentation
PLoS ONE, v. 13, (10), October 2018, article number e0206170
Zhu, Huaiyu; Pan, Yun; Cheng, Kwang Ting; Huan, Ruohong Article
A Skeletal Similarity Metric for Quality Evaluation of Retinal Vessel Segmentation
IEEE Transactions on Medical Imaging, v. 37, (4), April 2018, article number 8125187, p. 1045-1057
Yan, Zengqiang; Yang, Xin; Cheng, Kwang Ting Article
Accurate Face Alignment and Adaptive Patch Selection for Heart Rate Estimation From Videos Under Realistic Scenarios
PLoS ONE, v. 13, (5), May 2018, article number e0197275
Wang, Zhiwei; Yang, Xin; Cheng, Kwang Ting Article
Automated Detection of Clinically Significant Prostate Cancer in mp-MRI Images Based on an End-to-End Deep Neural Network
IEEE Transactions on Medical Imaging, v. 37, (5), May 2018, article number 8245842, p. 1127-1139
Wang, Zhiwei; Liu, Chaoyue; Cheng, Danpeng; Wang, Liang; Yang, Xin; Cheng, Kwang Ting Article
Joint Segment-Level and Pixel-Wise Losses for Deep Learning Based Retinal Vessel Segmentation
IEEE Transactions on Biomedical Engineering, v. 65, (9), September 2018, article number 8341481, p. 1912-1923
Yan, Zengqiang; Yang, Xin; Cheng, Kwang Ting Article
Resistive random-access memory based on ratioed memristors
Nature Electronics, v. 1, (8), August 2018, p. 466-472
Lastras-monta?o, Miguel Angel; Cheng, Kwang Ting Article
Robust and Real-time Pose Tracking for Augmented Reality on Mobile Devices
Multimedia Tools and Applications, v. 77, (6), March 2018, p. 6607-6628
Yang, Xin; Guo, Jiabin; Xue, Tangli; Cheng, Tim Kwang-Ting Article
A Deep Model with Shape-preserving Loss for Gland Instance Segmentation
International Conference on Medical Image Computing and Computer-Assisted Intervention, v. 11071 LNCS, 2018, p. 138-146, Part of the Lecture Notes in Computer Science book series (LNCS, volume 11071)
Yan, Zengqiang; Yang, Xin; Cheng, Kwang Ting Conference paper
ACT: An Autonomous Drone Cinematography System for Action Scenes
Proceedings - IEEE International Conference on Robotics and Automation, September 2018, article number 8460703, p. 7039-7046
Huang, Chong; Gao, Fei; Pan, Jie; Yang, Zhenyu; Qiu, Weihao; Chen, Peng; Yang, Xin; Shen, Shaojie; Cheng, Kwang Ting Conference paper
Bi-Real Net: Enhancing the Performance of 1-bit CNNs with Improved Representational Capability and Advanced Training Algorithm
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 11219 LNCS, 2018, p. 747-763
Liu, Zechun; Wu, Baoyuan; Luo, W.Wenhan; Yang, Xin; Liu, Wei; Cheng, Kwangting Conference paper
Compact Modeling of Carbon Nanotube Thin Film Transistors for Flexible Circuit Design
Proceedings of the 2018 Design, Automation and Test in Europe Conference and Exhibition, DATE 2018, v. 2018-January, April 2018, p. 491-496
Shao, Leilai; Huang, Tsung-Ching; Lei, Ting; Bao, Zhenan; Beausolei, Raymond; Cheng, Kwang Ting Conference paper
Energy-Efficient Channel Alignment of DWDM Silicon Photonic Transceivers
Proceedings of the 2018 Design, Automation and Test in Europe Conference and Exhibition, DATE 2018, v. 2018-January, April 2018, p. 601-604
Wang, Yuyang; Seyedi, M. Ashkan; Wu, Rui; Hulme, Jared; Fiorentino, Marco; Beausoleil, Raymond G.; Cheng, Kwang Ting Conference paper
Fully Printed Organic Pseudo-CMOS Circuits for Sensing Applications
2018 International Flexible Electronics Technology Conference, IFETC 2018, December 2018, article number 8584012
Shao, Leilai; Chu, TaYa; Tao, Ye; Cheng, Kwang Ting Conference paper
Monocular Camera Based Real-time Dense Mapping Using Generative Adversarial Network
MM 2018 - Proceedings of the 2018 ACM Multimedia Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2018, p. 896-904
Yang, Xin; Chen, Jingyu; Wang, Zhiwei; Zhang, Qiaozhe; Liu, Wenyu; Liao, Chunyuan; Cheng, Kwang Ting Conference paper
Pairing of Microring-based Silicon Photonic Transceivers for Tuning Power Optimization
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2018-January, February 2018, p. 135-140
Wu, Rui; Seyedi, M. Ashkan; Wang, Yuyang; Hulme, Jared; Fiorentino, Marco; Beausoleil, Raymond G.; Cheng, Kwang Ting Conference paper
Process Design Kit for Flexible Hybrid Electronics
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2018-January, February 2018, article number 8297396, p. 651-657
Shao, Leilai; Huang, Tsung-Ching; Lei, Ting; Bao, Zhenan; Beausoleil, Raymond; Cheng, Kwang Ting Conference paper
StitchAD-GAN for Synthesizing Apparent Diffusion Coefficient Images of Clinically Significant Prostate Cancer
British Machine Vision Conference 2018, BMVC 2018
Wang, Zhiwei; Lin, Yi; Liao, Chunyuan; Cheng, Kwang Ting; Yang, Xin Conference paper
Through-the-Lens Drone Filming
IEEE International Conference on Intelligent Robots and Systems, December 2018, article number 8594333, p. 4692-4699
Huang, Chong; Yang, Zhenyu; Kong, Yan; Chen, Peng; Yang, Xin; Cheng, Tim Kwang Ting Conference paper

2017 16

A multiply-add engine with monolithically integrated 3D memristor crossbar/CMOS hybrid circuit
Scientific Reports, v. 7, February 2017, article number 42429
Chakrabarti, Bhaswar; Lastras-monta?o, Miguel Angel; Adam, Gina; Prezioso, Mirko; Hoskins, Brian; Payvand, M.; Madhavan, A.; Ghofrani, A.; Theogarajan, L.; Cheng, Tim Kwang-Ting; Strukov, Dmitri B. Article
An Automated Method for Accurate Vessel Segmentation
Physics in Medicine and Biology, v. 62, (9), May 2017, article number 3757, p. 3757-3778
Yang, Xin; Liu, Chaoyue; Le minh, Hung; Wang, Zhiwei; Chien, Aichi; Cheng, Tim Kwang-Ting Article
Automated Diagnosis of Prostate Cancer in Multi-Parametric MRI Based on Multimodal Convolutional Neural Networks
Physics in Medicine and Biology, v. 62, (16), July 2017, p. 6497-6514
Le, Minh Hung; Chen, Jingyu; Wang, Liang; Wang, Zhiwei; Liu, Wenyu; Cheng, Tim Kwang-Ting; Yang, Xin Article
Compact Modeling for Silicon Photonic Heterogeneously Integrated Circuits
Journal of Lightwave Technology, v. 35, (14), 15 July 2017, article number 7932056, p. 2973-2980
Zhang, Zeyu; Wu, Rui; Wang, Yuyang; Zhang, Chong; Stanton, Eric J.; Schow, Clint L.; Cheng, Kwang Ting; Bowers, John E. Article
Co-trained Convolutional Neural Networks for Automated Detection of Prostate Cancer in Multi-parametric MRI
Medical Image Analysis, v. 42, December 2017, p. 212-227
Yang, Xin; Liu, Chaoyue; Wang, Zhiwei; Yang, Jun; Min, Hungle; Wang, Liang; Cheng, Kwang Ting (Tim) Article
Hiding Hardware Trojan Communication Channels in Partially Specified SoC Bus Functionality
IEEE Transactions on Computer-Aided Design of Integrated Circuits and System, v. 36, (9), September 2017, article number 7781598, p. 1435-1444
Fern, Nicole Chan; San, Ismail; Koc, Cetin Kaya; Cheng, Kwang Ting Article
Verification and Trust for Unspecified IP Functionality
Hardware IP Security and Trust / Prabhat Mishra, Swarup Bhunia, Mark Tehranipoor, editors. Cham : Springer, 2017, p. 255-285
Fern, Nicole; Cheng, Kwang Ting Book chapter
3D-DPE: A 3D High-Bandwidth Dot-Product Engine for High-Performance Neuromorphic Computing
Proceedings of the 2017 Design, Automation and Test in Europe, DATE 2017, May 2017, article number 7927183, p. 1257-1260
Lastras-montano, Miguel Angel; Chakrabarti, Bhaswar; Strukov, Dmitri B.; Cheng, Tim Kwang-Ting Conference paper
An Artificial Neural Network Approach for Screening Test Escapes
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, February 2017, article number 7858358, p. 414-419
Lin, Fan; Cheng, Tim Kwang-Ting Conference paper
Compact Modeling and Circuit-Level Simulation of Silicon Nanophotonic Interconnects
Proceedings of the 2017 Design, Automation and Test in Europe, DATE 2017, May 2017, article number 7927057, p. 602-605
Wu, Rui; Wang, Yuyang; Zhang, Zeyu; Zhang, Chong; Schow, Clint L.; Bowers, John E.; Cheng, Tim Kwang-Ting Conference paper
Detecting Hardware Trojans in Unspecified Functionality through Solving Satisfiability Problems
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, February 2017, article number 7858389, p. 598-604
Fern, Nicole; San, Ismail; Cheng, Tim Kwang-Ting Conference paper
DLPS: Dynamic Laser Power Scaling for Optical Network-on-Chip
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2017, February 2017, article number 7858410, p. 726-731
Lan, Fan; Wu, Rui; Zhang, Chong; Pan, Yun; Cheng, Kwang Ting Conference paper
Joint Detection and Diagnosis of Prostate Cancer in Multi-parametric MRI Based on Multimodal Convolutional Neural Networks
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 10435 LNCS, 2017, p. 426-434
Yang, Xin; Wang, Zhiwei; Liu, Chaoyue; Le, Hungminh; Chen, Jingyu; Cheng, Tim Kwang-Ting; Wang, Liang Conference paper
Mining Mutation Testing Simulation Traces for Security and Testbench Debugging
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, v. 2017-November, December 2017, p. 714-721
Fern, Nicole; Cheng, Tim Kwang-Ting Conference paper
REDBEE: A visual-inertial drone system for real-time moving object detection
IEEE International Conference on Intelligent Robots and Systems, v. 2017-September, December 2017, article number 8205985, p. 1725-1731
Huang, Chong; Chen, Peng; Yang, Xin; Cheng, Tim Kwang-Ting Conference paper
Robust Design and Design Automation for Flexible Hybrid Electronics
Proceedings - IEEE International Symposium on Circuits and Systems, v. 0, September 2017, article number 8050681
Huang, Tsungching; Shao, Leilai; Lei, Ting; Beausoleil, Raymond G.; Bao, Zhenan; Cheng, Kwang Ting Conference paper

2016 18

An Efficient Network-on-Chip Yield Estimation Approach Based on Gibbs Sampling
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 35, (3), March 2016, article number 7229262, p. 447-457
Lan, Fan; Pan, Yun; Cheng, Tim Kwang-Ting Article
Associative Memristive Memory for Approximate Computing in GPUs
IEEE Journal on Emerging and Selected Topics in Circuits and Systems, v. 6, (2), June 2016, article number 7446351, p. 222-234
Ghofrani, Amirali; Rahimi, Abbas; Lastras-monta?o, Miguel Angel; Benini, Luca; Gupta, Rajesh Kamal; Cheng, Tim Kwang-Ting Article
Renal Compartment Segmentation in DCE-MRI Images
Medical Image Analysis, v. 32, August 2016, p. 269-280
Yang, Xin; Le Minh, Hung; Cheng, Tim Kwang-Ting; Sung, Kyung Hyun; Liu, Wenyu Article
Automatic Test Pattern Generation
Electronic Design Automation for IC System Design, Verification, and Testing / Edited by Luciano Lavagno, Grant Martin, Igor L. Markov, Louis K. Scheffer. Boca Raton : CRC Press, 2016, p. 559-604
Cheng, Tim Kwang-Ting; Wang, Li-C; Li, Huawei; Li, James Chien-Mo Book chapter
A Low-Power Hybrid Reconfigurable Architecture For Resistive Random-Access Memories
Proceedings - International Symposium on High-Performance Computer Architecture, v. 2016-April, April 2016, article number 7446057, p. 102-113
Miguel, Angel Lastras-Monta?o; Amirali, Ghofrani; Cheng, Tim Kwang-Ting Conference paper
A Wearable Signal Acquisition System for Physiological Signs Including Throat PPG
Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS, v. 2016-October, October 2016, article number 7590774, p. 603-606
Zhong, Yizhou; Pan, Yun; Zhang, Ling; Cheng, Tim Kwang-Ting Conference paper
Accurate and Efficient Pulse Measurement from Facial Videos on Smartphones
2016 IEEE Winter Conference on Applications of Computer Vision, WACV 2016, July 2016, article number 7477669, p. 1037-1044
Huang, Chong; Yang, Xin; Cheng, Tim Kwang-Ting Conference paper
Detecting Hardware Trojans in Unspecified Functionality Using Mutation Testing
2015 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015, January 2016, article number 7372619, p. 560-566
Fern, Nicole; Cheng, Tim Kwang-Ting Conference paper
Hardware Trojans in Incompletely Specified On-chip Bus Systems
Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016, April 2016, article number 7459366, p. 527-530
Fern, Nicole; San, Ismail; Kaya koc, Cetin; Cheng, Kwang-Ting Tim Conference paper
In-place Repair for Resistive Memories Utilizing Complementary Resistive Switches
ISLPED '16: Proceedings of the 2016 International Symposium on Low Power Electronics and Design / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2016, p. 350-355
Ghofrani, Amirali; Lastras-montano, Miguel Angel; Wang, Yuyang; Cheng, Kwang-Ting Tim Conference paper
Large-Signal Model for Small-Size High-Speed Carrier-Injection Silicon Microring Modulator
OSA technical Digest, 2016, article number IW1B.4
Wu, Rui; Chen, Chin-Hui; Ashkan seyedi; Huang, Tsung-Ching; Fiorentino, Marco; Beausoleil, Ray; Cheng, Kwang-Ting Tim Conference paper
Local Feature Descriptor Learning with Adaptive Siamese Network
Local Features: State of the art, open problems and performance evaluation (ECCV 2016) The 14th European Conference on Computer Vision, Amsterdam, the Netherlands, 2016
Huang, Chong; Liu, Qiong; Chen, Yan-Ying; Cheng, Tim Kwang-Ting Conference paper
Pairwise Proximity-Based Features for Test Escape Screening
2015 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015, January 2016, article number 7372584, p. 300-306
Lin, Fan; Hsu, Chun-Kai; Busetto, Alberto Giovanni; Cheng, Tim Kwang-Ting Conference paper
Printed Circuits on Flexible Substrates: Opportunities and Challenges (invited paper)
2016 10th IEEE/ACM International Symposium on Networks-on-Chip, NOCS 2016, October 2016, article number 7579340
Huang, Tsung-Ching; Cheng, Tim Kwang-Ting; Beausoleil, Raymond G. Conference paper
Process-variation tolerant flexible circuit for wearable electronics
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7527243, p. 353-356
Huang, Tsung-Ching; Cheng, Tim Kwang-Ting; Beausoleil, Raymond Conference paper
Spatial Pattern Analysis of Process Variations in Silicon Microring Modulators
5th IEEE Photonics Society Optical Interconnects Conference, OI 2016, June 2016, article number 7482978, p. 116-117
Wu, Rui; Chen, Chin-Hui; Huang, Tsung-Ching; Beausoleil, Raymond G.; Cheng, Tim Kwang-Ting Conference paper
Trojans Modifying Soft-Processor Instruction Sequences Embedded in FPGA Bitstreams
FPL 2016 - 26th International Conference on Field-Programmable Logic and Applications, September 2016, article number 7577347, p. 323-326
San, Ismail; Fern, Nicole; Koc, Cetin Kaya; Cheng, Tim Kwang-Ting Conference paper
Variation and Failure Characterization Through Pattern Classification of Test Data From Multiple Test Stages
Proceedings - International Test Conference, v. 0, July 2016, article number 7805845
Hsu, Chun Kai; Sarson, Peter; Schatzberger, Gregor; Leisenberger, Friedrich; Carulli, John; Siddhartha, Siddhartha; Cheng, Tim Kwang-Ting Conference paper

2015 25

A low-Power Variation-Aware Adaptive Write Scheme for Access-Transistor-Free Memristive Memory
ACM Journal on Emerging Technologies in Computing Systems, v. 12, (1), July 2015, article number 3
Ghofrani, Amirali; Lastras-monta?o, Miguel Angel; Gaba, Siddharth; Payvand, Melika; Lu, Wei; Theogarajan, Luke Satish Kumar; Cheng, Tim Kwang-Ting Article
A Power Efficient Test Data Compression Method on Count Compatible PRL Coding
Journal of Circuits, Systems and Computers, v. 24, (6), July 2015, article number 1550084
Yuan, Haiying; Mei, Jiaping; Sun, Xun; Cheng, Tim Kwang-Ting; Guo, Kun Article
Athermal silicon ring resonators clad with titanium dioxide for 1.3μm wavelength operation
Optics Express, v. 23, (20), October 2015, p. 25653-25660
Feng, Shaoqi; Shang, Kuanping; Bovington, Jock T.; Wu, Rui; Guan, Binbin; Cheng, Tim Kwang-Ting; Bowers, John E.; Yoo, S. J. Ben Article
Compact models for carrier-injection silicon microring modulators
Optics Express, v. 23, (12), June 2015, p. 15545-15554
Wu, Rui; Chen, Chin-Hui; Fédéli, Jean Marc; Fournier, Maryse; Cheng, Tim Kwang-Ting; Beausoleil, Raymond G. Article
Design, Automation, and Test for Low-Power and Reliable Flexible Electronics
Foundations and Trends in Electronic Design Automation, v. 9, (2), January 2015, p. 99-210
Huang, Tsung-Ching; Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Article
Mobile Image Search: Challenges and Methods
Mobile Cloud Visual Media Computing: From Interaction to Service / Gang Hua, Xian-Sheng Hua, editors. Switzerland : Springer International Publishing, 2015, p. 243-262
Yang, Xin; Cheng, Tim Kwang-Ting Book chapter
[POSTER] Fusion of Vision and Inertial Sensing for Accurate and Efficient Pose Tracking on Smartphones
Proceedings of the 2015 IEEE International Symposium on Mixed and Augmented Reality, ISMAR 2015 / Institute of Electrical and Electronics Engineers (IEEE). Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 68-71, Article number 7328063
Yang, Xin; Si, Xun; Xue, Tangli; Cheng, Tim Kwang-Ting Conference paper
20 Gb/s Carrier-Injection Silicon Microring Modulator with SPICE-Compatible Dynamic Model
International Conference on Photonics in Switching, PS 2015, November 2015, article number 7328943, p. 31-33
Wu, Rui; Chen, Chin-Hui; Huang, Tsung-Ching; Cheng, Tim Kwang-Ting; Beausoleil, Raymond G. Conference paper
A Configurable CMOS Memory Platform for 3D-Integrated Memristors
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2015-July, July 2015, article number 7168899, p. 1378-1381
Payvand, Melika; Madhavan, Advait; Lastras-monta?o, Miguel Angel; Ghofrani, Amirali; Rofeh, Justin; Cheng, Tim Kwang-Ting; Strukov, Dmitri B.; Theogarajan, Luke Satish Kumar Conference paper
Accurate Vessel Segmentation with Progressive Contrast Enhancement and Canny Refinement
Computer Vision - ACCV 2014: Revised Selected Papers, Part III / Editors: Daniel Cremers, Ian Reid, Hideo Saito, Ming-Hsuan Yang. Cham : Springer International Publishing, 2015, p. 1-16, Book series: Lecture Notes in Computer Science, v. 9005 LNCS
Yang, Xin; Cheng, Tim Kwang-Ting; Chien, Aichi Conference paper
AdaTest: An Efficient Statistical Test Framework for Test Escape Screening
Proceedings - International Test Conference, v. 2015-November, November 2015, article number 7342391
Lin, Fan; Hsu, Chun-Kai; Cheng, Tim Kwang-Ting Conference paper
Approximate Associative Memristive Memory for Energy-Efficient GPUs
Proceedings -Design, Automation and Test in Europe, DATE, v. 2015-April, April 2015, article number 7092626, p. 1497-1501
Rahimi, Abbas Farrokh; Ghofrani, Amirali; Cheng, Tim Kwang-Ting; Benini, Luca; Gupta, Rajesh Kumar C. Conference paper
Architecting energy efficient crossbar-based memristive random-access memories
Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2015, August 2015, article number 7180575, p. 1-6
Lastras-monta?o, Miguel Angel; Ghofrani, Amirali; Cheng, Tim Kwang-Ting Conference paper
Compact Modeling and System Implications of Microring Modulators in Nanophotonic Interconnects
2015 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP), v. 2015-July, July 2015, article number 7171708
Wu, Rui; Chen, Chin-Hui; Fédéli, Jean Marc; Fournier, Maryse; Beausoleil, Raymond G.; Cheng, Tim Kwang-Ting Conference paper
DWDM Nanophotonic Interconnects: Toward Terabit/s Chip-Scale Serial Link
Midwest Symposium on Circuits and Systems, v. 2015-September, September 2015, article number 7282211
Huang, Tsung-Ching; Li, Cheng; Wu, Rui; Chen, Chin-Hui; Fiorentino, Marco; Cheng, Tim Kwang-Ting; Palermo, Samuel M.; Beausoleil, Raymond G. Conference paper
Feature Engineering With Canonical Analysis for Effective Statistical Tests Screening Test Escapes
Proceedings - International Test Conference, v. 2015-February, Feburary 2015, article number 7035344
Lin, Fan; Hsu, Chun-Kai; Cheng, Tim Kwang-Ting Conference paper
Hardware Trojan detection using exhaustive testing of k-bit subspaces
20th Asia and South Pacific Design Automation Conference (ASP-DAC 2015), March 2015, article number 7059101, p. 755-760
Lesperance, Nicole; Kulkarni, Shrikant; Cheng, Tim Kwang-Ting Conference paper
Hardware Trojans Hidden in RTL Don’t Cares – Automated Insertion and Prevention Methodologies
Proceedings - International Test Conference, v. 2015-November, November 2015, article number 7342387
Fern, Nicole; Kulkarni, Shrikant; Cheng, Tim Kwang-Ting Conference paper
HReRAM: A Hybrid Reconfigurable Resistive Random-Access Memory
Proceedings of Design, Automation and Test in Europe, DATE, v. 2015-April, April 2015, article number 7092593, p. 1299-1304, Category number CFP15162-ART; Code 115713
Lastras-monta?o, Miguel Angel; Ghofrani, Amirali; Cheng, Tim Kwang-Ting Conference paper
Leveraging Nonvolatility for Architecture Design with Emerging NVM
2015 IEEE Non-Volatile Memory Systems and Applications Symposium, NVMSA 2015, October 2015, article number 7304356
Li, Shuangchen; Chi, Ping; Zhao, Jishen; Cheng, Tim Kwang-Ting; Xie, Yuan Conference paper
Standard 12-lead ECG Synthesis Using a GA Optimized BP Neural Network
2015 7th International Conference on Advanced Computational Intelligence, ICACI 2015 / Institute of Electrical and Electronics Engineers (IEEE). Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 289-293
Chen, Fangjian; Pan, Yun; Li, Ke; Cheng, Tim Kwang-Ting; Huan, Ruohong Conference paper
Toward large-scale access-transistor-free memristive crossbars
20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015, March 2015, article number 7059067, p. 563-568, Category number CFP15ASP-ART; Code 111574
Ghofrani, Amirali; Lastras-monta?o, Miguel Angel; Cheng, Tim Kwang-Ting Conference paper
Variation-Aware Adaptive Tuning for Nanophotonic Interconnects
2015 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015, November 2015, article number 7372609, p. 487-493
Wu, Rui; Chen, Chin-Hui; Li, Cheng; Huang, Tsung-Ching; Lan, Fan; Zhang, Chong; Pan, Yun; Bowers, John E.; Beausoleil, Raymond G.; Cheng, Tim Kwang-Ting Conference paper
Vertical Integration of Memristors onto Foundry CMOS Dies using Wafer-Scale Integration
Proceedings - Electronic Components and Technology Conference, v. 2015-July, July 2015, article number 7159710, p. 957-962
Rofeh, Justin; Sodhi, Avantika; Payvand, Melika; Lastras-monta?o, Miguel Angel; Ghofrani, Amirali; Madhavan, Advait; Yemenicioglu, Sukru; Cheng, Tim Kwang-Ting; Theogarajan, Luke Satish Kumar Conference paper
Vision-inertial Hybrid Tracking for Robust and Efficient Augmented Reality on Smartphones
MM 2015 - Proceedings of the 2015 ACM Multimedia Conference / Association for Computing Machinery. New York, NY, USA : Association for Computing Machinery, 2015, p. 1039-1042
Yang, Xin; Si, Xun; Xue, Tangli; Zhang, Liheng; Cheng, Tim Kwang-Ting Conference paper

2014 11

Compact Test Generation With an Influence Input Measure for Launch-On-Capture Transition Fault Testing
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (9), September 2014, article number 6609109, p. 1968-1979
Xiang, Dong; Sui, Wenjie; Yin, Boxue; Cheng, Tim Kwang-Ting Article
Learning Optimized Local Difference Binaries for Scalable Augmented Reality on Mobile Devices
IEEE Transactions on Visualization and Computer Graphics, v. 20, (6), June 2014, article number 6671918, p. 852-865
Yang, Xin; Cheng, Tim Kwang-Ting Article
Local Difference Binary for Ultrafast and Distinctive Feature Description
IEEE Transactions on Pattern Analysis and Machine Intelligence, v. 36, (1), January 2014, article number 6579616, p. 188-194
Yang, Xin; Cheng, Tim Kwang-Ting Article
Test-Quality Optimization for Variable n-Detections of Transition Faults
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (8), August 2014, article number 6587310, p. 1738-1749
Xu, Dawen; Li, Huawei; Ghofrani, Amirali; Cheng, Tim Kwang-Ting; Han, Yinhe; Li, Xiaowei Article
Thermal stress implications in athermal TiO2 waveguides on a silicon substrate
Optics Express, v. 22, (1), January 2014, p. 661-666
Bovington, Jock T.; Wu, Rui; Cheng, Tim Kwang-Ting; Bowers, John E. Article
Athermal Characteristics of TiO2-Clad Silicon Waveguides at 1.3μm
2014 IEEE Photonics Conference, IPC 2014, December 2014, article number 6995239, p. 116-117
Feng, Shaoqi; Shang, Kuanping; Bovington, Jock T.; Wu, Rui; Cheng, Tim Kwang-Ting; Bowers, John E.; Yoo, S. J. Ben Conference paper
Energy-efficient GPGPU Architectures via Collaborative Compilation and Memristive Memory-based Computing
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2014, p. 1-6, Article number 2593132
Rahimi, Abbas Farrokh; Ghofrani, Amirali; Angel, Miguel; Cheng, Tim Kwang-Ting; Benini, Luca; Gupta, Rajesh Kumar C. Conference paper
Geodesic Active Contours with Adaptive Configuration for Cerebral Vessel and Aneurysm Segmentation
Proceedings - International Conference on Pattern Recognition, December 2014, article number 6977265, p. 3209-3214
Yang, Xin; Cheng, Tim Kwang-Ting; Chien, Aichi Conference paper
Joint Virtual Probe: Joint Exploration of Multiple Test Items' Spatial Patterns for Efficient Silicon Characterization and Test Prediction
Proceedings -Design, Automation and Test in Europe, DATE, March 2014, article number 6800441
Zhang, Shuangyue; Lin, Fan; Hsu, Chun-Kai; Cheng, Tim Kwang-Ting; Wang, Hong Conference paper
Learning from Production Test Data: Correlation Exploration and Feature Engineering
Proceedings of the Asian Test Symposium, December 2014, article number 6979106, p. 236-241
Lin, Fan; Hsu, Chun-Kai; Cheng, Tim Kwang-Ting Conference paper
Libldb: A library for extracting ultrafast and distinctive binary feature description
MM 2014 - Proceedings of the 2014 ACM Conference on Multimedia / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2014, p. 671-674
Yang, Xin; Huang, Chong; Cheng, Tim Kwang-Ting Conference paper

2013 8

Low-Cost Error Tolerance Scheme for 3-D CMOS Imagers
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 21, (3), March 2013, article number 6186837, p. 465-474
Chang, Hsiu Ming Sherman; Huang, Jiun-Lang; Kwai, Dingming; Cheng, Tim Kwang-Ting; Wu, Chengwen Article
Architecting Low Power Crossbar-Based Memristive RAM
4th Non-Volatile Memory Workshop, University of California, San Diego, USA, 3-5 March 2013
Lastras-monta?o, Miguel Angel; Ghofrani, Amirali; Cheng, Tim Kwang-Ting Conference paper
Digital-to-analog and Analog-to-digital Conversion with Metal Oxide Memristors for Ultra-low Power Computing
Proceedings of the 2013 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2013, 2013, article number 6623031, p. 19-22
Gao, Ligang; Merrikh-bayat, Farnood; Alibart, Fabien; Guo, Xinjie; Hoskins, Brian D.; Cheng, Tim Kwang-Ting; Strukov, Dmitri B. Conference paper
Mutation Analysis with Coverage Discounting
Proceedings -Design, Automation and Test in Europe, DATE, 2013, article number 6513467, p. 31-34
Lisherness, Peter; Lesperance, Nicole; Cheng, Tim Kwang-Ting Conference paper
Performance Optimization of Vision Apps on Mobile Application Processor
International Conference on Systems, Signals, and Image Processing, 2013, article number 6623485, p. 187-191
Cheng, Tim Kwang-Ting; Yang, Xin; Wang, Yichu Conference paper
Role of Thermal Stress in Athermal Waveguide Design Using TiO2 Waveguides on a Silicon Substrate
2013 IEEE Photonics Conference, IPC 2013, 2013, article number 6656513, p. 219-220
Bovington, Jock T.; Wu, Rui; Cheng, Tim Kwang-Ting; Bowers, John E. Conference paper
Test Data Analytics - Exploring Spatial and Test-item Correlations in Production Test Data
Proceedings - International Test Conference, 2013, article number 6651900
Hsu, Chun-Kai; Lin, Fan; Cheng, Tim Kwang-Ting; Zhang, Wangyang; Li, Xin; Carulli, John M.; Butler, Kenneth M. Conference paper
Towards Data Reliable Crossbar-based Memristive Memories
Proceedings - International Test Conference, 2013, article number 6651928
Ghofrani, Amirali; Lastras-monta?o, Miguel Angel; Cheng, Tim Kwang-Ting Conference paper

2012 13

Power-Efficient Calibration and Reconfiguration for Optical Network-on-Chip
Journal of Optical Communications and Networking, v. 4, (12), December 2012, article number 6392500, p. 955-966
Zheng, Yan; Lisherness, Peter; Gao, Ming; Bovington, Jock T.; Cheng, Tim Kwang-Ting; Wang, Hong; Yang, Shiyuan Article
3D CMOS-memristor hybrid circuits: devices, integration, architecture, and applications
Proceedings of the International Symposium on Physical Design / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2012, p. 33-40
Cheng, Tim Kwang-Ting; Strukov, Dmitri B. Conference paper
Accelerating SURF detector on mobile devices
MM 2012 - Proceedings of the 20th ACM International Conference on Multimedia / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2012, p. 569-578
Yang, Xin; Cheng, Tim Kwang-Ting Conference paper
Adaptive test selection for post-silicon timing validation: A data mining approach
Proceedings - International Test Conference, 2012, article number 6401540
Gao, Ming; Lisherness, Peter; Cheng, Tim Kwang-Ting Conference paper
Comprehensive online defect diagnosis in on-chip networks
Proceedings of the IEEE VLSI Test Symposium, 2012, article number 6231078, p. 44-49
Ghofrani, Amirali; Parikh, Ritesh; Shamshiri, Saeed; Deorio, Andrew; Cheng, Tim Kwang-Ting; Bertacco, Valeria M. Conference paper
Energy and performance characterization of mobile heterogeneous computing
IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation, 2012, article number 6363274, p. 312-317
Wang, Yichu; Cheng, Tim Kwang-Ting Conference paper
Energy-Aware Real-Time Face Recognition System on Mobile CPU-GPU Platform
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 6554 LNCS, (PART 2), 2012, p. 411-422
Wang, Yichu; Donyanavard, Bryan; Cheng, Tim Kwang-Ting Conference paper
Improving Validation Coverage Metrics to Account for Limited Observability
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2012, article number 6164962, p. 292-297
Lisherness, Peter; Cheng, Tim Kwang-Ting Conference paper
LDB: An ultra-fast feature for scalable augmented reality on mobile devices
ISMAR 2012 - 11th IEEE International Symposium on Mixed and Augmented Reality 2012, Science and Technology Papers, 2012, article number 6402537, p. 49-57
Yang, Xin; Cheng, Tim Kwang-Ting Conference paper
On Error Modeling of Electrical Bugs for Post-Silicon Timing Validation
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2012, article number 6165046, p. 701-706
Gao, Ming; Lisherness, Peter; Cheng, Tim Kwang-Ting; Liou, Jingjia Conference paper
Platform characterization for domain-specific computing
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, January 2012, article number 6165071, p. 94-99
Bui, Alex A.T.; Cheng, Tim Kwang-Ting; Cong, Jason; Vese, Luminita A.; Wang, Yichu; Yuan, Bo; Zou, Yi Conference paper
Post-fabrication reconfiguration for power-optimized tuning of optically connected multi-core systems
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2012, article number 6165030, p. 615-620
Zheng, Yan; Lisherness, Peter; Shamshiri, Saeed; Ghofrani, Amirali; Yang, Shiyuan; Cheng, Tim Kwang-Ting Conference paper
Power-Efficient Calibration and Reconfiguration for On-Chip Optical Communication
Proceedings -Design, Automation and Test in Europe, DATE, 2012, article number 6176711, p. 1501-1506
Zheng, Yan; Lisherness, Peter; Gao, Ming; Bovington, Jock T.; Yang, Shiyuan; Cheng, Tim Kwang-Ting Conference paper

2011 21

A Promising Alternative to Conventional Silicon
IEEE Design and Test of Computers, v. 28, (6), Nov 2011, Article number 6123677, p. 6
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Article
Fast Visual Retrieval Using Accelerated Sequence Matching
IEEE Transactions on Multimedia, v. 13, (2), April 2011, article number 5643930, p. 320-329
Yeh, Meichen; Cheng, Tim Kwang-Ting Article
Modeling Yield, Cost, and Quality of a Spare-Enhanced Multicore Chip
IEEE Transactions on Computers, v. 60, (9), September 2011, article number 5710882, p. 1246-1259
Shamshiri, Saeed; Cheng, Tim Kwang-Ting Article
Organic Pseudo-CMOS Circuits for Low-Voltage Large-Gain High-Speed Operation
IEEE Electron Device Letters, v. 32, (10), October 2011, article number 5978175, p. 1448-1450
Fukuda, Kenjiro; Sekitani, Tsuyoshi; Yokota, Tomoyuki; Kuribara, Kazunori; Huang, Tsung-Ching; Sakurai, Takayasu; Zschieschang, Ute; Klauk, Hagen; Ikeda, Masaaki; Kuwabara, Hirokazu; Yamamoto, Tatsuya; Takimiya, Kazuo; Cheng, Tim Kwang-Ting; Someya, Takao Article
Pseudo-CMOS: A Design Style for Low-Cost and Robust Flexible Electronics
IEEE Transactions on Electron Devices, v. 58, (1), January 2011, article number 5640660, p. 141-150
Huang, Tsung-Ching; Fukuda, Kenjiro; Lo, Chun Ming; Yeh, Yung-Hui; Sekitani, Tsuyoshi; Someya, Takao; Cheng, Tim Kwang-Ting Article
Robust Circuit Design for Flexible Electronics
IEEE Design and Test of Computers, v. 28, (6), November-December 2011, article number 5928308, p. 8-15
Huang, Tsung-Ching; Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Article
Tester-Assisted Calibration and Screening for Digitally-Calibrated ADCs
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 58, (12), December 2011, article number 5954140, p. 2838-2848
Chang, Hsiu Ming Sherman; Lin, Kuanyu; Cheng, Tim Kwang-Ting Article
Time-Multiplexed Online Checking
IEEE Transactions on Computers, v. 60, (9), September 2011, article number 5710883, p. 1300-1312
Gao, Ming; Chang, Hsiu Ming Sherman; Lisherness, Peter; Cheng, Tim Kwang-Ting Article
An all-digital built-in self-test technique for transfer function characterization of RF PLLs
Proceedings -Design, Automation and Test in Europe, DATE, 2011, article number 5763063, p. 359-364
Wang, Pingying; Chang, Hsiu Ming Sherman; Cheng, Tim Kwang-Ting Conference paper
Coverage discounting: A generalized approach for testbench qualification
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, 2011, article number 6114165, p. 49-56
Lisherness, Peter; Cheng, Tim Kwang-Ting Conference paper
End-to-End Error Correction and Online Diagnosis for On-Chip Networks
Proceedings - International Test Conference, 2011, article number 6139156
Shamshiri, Saeed; Ghofrani, Amirali; Cheng, Tim Kwang-Ting Conference paper
Energy-optimized mapping of application to smartphone platform—a case study of mobile face recognition
IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops, 2011, article number 5981820, p. 84-89
Wang, Yichu; Cheng, Tim Kwang-Ting Conference paper
GPU-accelerated fault simulation and its new applications
Proceedings of 2011 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2011, 2011, article number 5783576, p. 58-61
Li, Huawei; Xu, Dawen; Cheng, Tim Kwang-Ting Conference paper
Image Quality Aware Metrics for Performance Specification of ADC Array in 3D CMOS Imagers
DAC '11: Proceedings of the 48th Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2011, p. 759-764, Article number 5981996
Chang, Hsiu Ming Sherman; Cheng, Tim Kwang-Ting Conference paper
Image-Quality-Driven Metrics for Testing and Calibrating ADC Array in CMOS Imagers: A First Step
Proceedings - 2011 IEEE 17th International Mixed-Signals, Sensors and Systems Test Workshop, IMS3TW 2011, 2011, article number 6132732, p. 29-32
Chang, Hsiu Ming Sherman; Cheng, Tim Kwang-Ting; Huang, Jiun-Lang Conference paper
Large-scale EMM identification based on geometry-constrained visual word correspondence voting
Proceedings of the 1st ACM International Conference on Multimedia Retrieval, ICMR'11 / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2011, p. 1-9, Article No.: 35
Yang, Xin; Liu, Qiong; Liao, Chunyuan; Cheng, Tim Kwang-Ting; Girgensohn, Andreas Conference paper
Minimum Correspondence Sets for improving large-scale Augmented Paper
Proceedings of VRCAI 2011: ACM SIGGRAPH Conference on Virtual-Reality Continuum and its Applications to Industry / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2011, p. 59-68
Yang, Xin; Liao, Chunyuan; Liu, Qiong; Cheng, Tim Kwang-Ting Conference paper
Organic Pseudo-CMOS for 2V Operational High-Speed Circuits

Fukuda, Kenjiro; Huang, Tsung-Ching; Kuribara, Kazunori; Yokota, Tomoyuki; Sekitani, Tsuyoshi; Zschieschang, Ute; Klauk, Hagen; Ikeda, Masaaki; Kuwabara, Hirokazu; Yamamoto, Tatsuo; Takimiya, Kazuo; Cheng, Tim Kwang-Ting; Someya, Takao Conference paper
Post-silicon Bug Detection for Variation Induced Electrical Bugs
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2011, article number 5722197, p. 273-278
Gao, Ming; Lisherness, Peter; Cheng, Tim Kwang-Ting Conference paper
Test cost reduction through performance prediction using virtual probe
Proceedings - International Test Conference, 2011, article number 6139129
Chang, Hsiu Ming Sherman; Cheng, Tim Kwang-Ting; Zhang, Wangyang; Li, Xin; Butler, Kenneth M. Conference paper
Using mobile GPU for general-purpose computing–a case study of face recognition on smartphones
Proceedings of 2011 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2011, 2011, article number 5783575, p. 54-57
Cheng, Tim Kwang-Ting; Wang, Yichu Conference paper

2010 26

Calibration and Test Time Reduction Techniques for Digitally-Calibrated Designs: an ADC Case Study
Journal of Electronic Testing: Theory and Applications, v. 26, (1), February 2010, p. 59-71
Chang, Hsiu Ming Sherman; Lin, Kuanyu; Cheng, Tim Kwang-Ting Article
Recent Advances in Analog, Mixed-Signal, and RF Testing
IPSJ Transactions on System LSI Design Methodology, v. 3, 2010, p. 19-46
Cheng, Tim Kwang-Ting; Chang, Hsiu Ming Sherman Article
Efficient Test Methodologies for High-Speed Serial Links
Efficient Test Methodologies for High-Speed Serial Links / By Dongwoo Hong, Kwang-Ting Cheng. Netherlands: Springer, 2010. Lecture Notes in Electrical Engineering, v. 51.
Hong, Dongwoo; Cheng, Tim Kwang-Ting Book
A Two-Tone Test Method for Continuous-Time Adaptive Equalizers
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 75-87, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting Book chapter
An Accurate Jitter Estimation Technique
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 65-73, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting Book chapter
An Efficient Jitter Measurement Technique
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 7-18, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting Book chapter
BER Estimation for Linear Clock and Data Recovery Circuit
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 19-40, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting Book chapter
BER Estimation for Non-Linear Clock and Data Recovery Circuit
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 41-51, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting Book chapter
Gaps in Timing Margining Test
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 53-64, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting Book chapter
Introduction
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 1-5, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting Book chapter
A case study of time-multiplexed assertion checking for post-silicon debugging
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, 2010, article number 5496657, p. 90-96
Gao, Ming; Cheng, Tim Kwang-Ting Conference paper
A GPU-accelerated face annotation system for smartphones
MM'10 - Proceedings of the ACM Multimedia 2010 International Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2010, p. 1667-1668
Wang, Yichu; Pang, Sydney; Cheng, Tim Kwang-Ting Conference paper
A Portable Multi-pitch e-Drum Based on Printed Flexible Pressure Sensors
Proceedings -Design, Automation and Test in Europe, DATE, 2010, article number 5456970, p. 1082-1087
Lo, Chun Ming; Huang, Tsung-Ching; Chiang, Chengyi; Hou, Johnson; Cheng, Tim Kwang-Ting Conference paper
An Automatic Test Generation Framework for Digitally-assisted Adaptive Equalizers in High-speed Serial Links
Proceedings -Design, Automation and Test in Europe, DATE, 2010, article number 5457098, p. 1755-1760
Abbas, Mohamed Amir; Cheng, Tim Kwang-Ting; Furukawa, Yasuo; Komatsu, Satoshi; Asada, Kunihiro Conference paper
An Error Tolerance Scheme for 3D CMOS Imagers
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2010, p. 917-922
Chang, Hsiu Ming Sherman; Huang, Jiun-Lang; Kwai, Dingming; Cheng, Tim Kwang-Ting; Wu, Chengwen Conference paper
Calibration-assisted production testing for digitally-calibrated ADCs
Proceedings of the IEEE VLSI Test Symposium, 2010, article number 5469549, p. 295-300
Chang, Hsiu Ming Sherman; Lin, Kuanyu; Cheng, Tim Kwang-Ting Conference paper
Design, analysis, and test of low-power and reliable flexible electronics
Proceedings of the IEEE VLSI Test Symposium, v. 2010-January, 2010, article number 5469610
Cheng, Tim Kwang-Ting; Huang, Tsung-Ching Conference paper
Error-locality-aware linear coding to correct multi-bit upsets in SRAMs
Proceedings - International Test Conference, 2010, article number 5699220
Shamshiri, Saeed; Cheng, Tim Kwang-Ting Conference paper
Innovative practices session 2C: Design, fabrication and test of flexible electronics
Proceedings of the IEEE VLSI Test Symposium, v. 2010-January, 2010, article number 5469613, p. 91-91
Cheng, Tim Kwang-Ting Conference paper
Low-cost quality assurance techniques for high-performance mixed-signal/RF circuits and systems
Proceedings - International Test Conference, 2010, article number 5699287
Chang, Hsiu Ming Sherman; Cheng, Tim Kwang-Ting Conference paper
Mobile image search with multimodal context-aware queries
2010 IEEE Computer Society Conference on Computer Vision and Pattern Recognition - Workshops, CVPRW 2010, 2010, article number 5543246, p. 25-32
Yang, Xin; Pang, Sydney; Cheng, Tim Kwang-Ting Conference paper
Modeling yield, cost, and quality of an NoC with uniformly and non-uniformly distributed redundancy
Proceedings of the IEEE VLSI Test Symposium, 2010, article number 5469579, p. 194-199
Shamshiri, Saeed; Cheng, Tim Kwang-Ting Conference paper
Mutation-based diagnostic test generation for hardware design error diagnosis
Proceedings - International Test Conference, 2010, article number 5699307
Deng, Shujun; Cheng, Tim Kwang-Ting; Bian, Jinian; Kong, Zhiqiu Conference paper
nGFSIM : A GPU-based fault simulator for 1-to-n detection and its applications
Proceedings - International Test Conference, 2010, article number 5699235
Li, Huawei; Xu, Dawen; Han, Yinhe; Cheng, Tim Kwang-Ting; Li, Xiaowei Conference paper
Pseudo-CMOS: A novel design style for flexible electronics
Proceedings -Design, Automation and Test in Europe, DATE, 2010, article number 5457220, p. 154-159
Huang, Tsung-Ching; Fukuda, Kenjiro; Lo, Chun Ming; Yeh, Yung-Hui; Sekitani, Tsuyoshi; Someya, Takao; Cheng, Tim Kwang-Ting Conference paper
SCEMIT: A SystemC error and mutation injection tool
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2010, p. 228-233
Lisherness, Peter; Cheng, Tim Kwang-Ting Conference paper

2009 17

SEChecker: A Sequential Equivalence Checking Framework Based on Kth Invariants
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 17, (6), June 2009, article number 4815387, p. 733-746
Lu, Feng; Cheng, Tim Kwang-Ting Article
What is flexible electronics?
ACM SIGDA Newsletter, v. 39, (4), April 2009
Cheng, Tim Kwang-Ting; Huang, Tsung-Ching Article
Electronic design automation: synthesis, verification, and test
Electronic design automation / Wang, Laungterng, Chang, Yao-Wen, Cheng, Tim, Morgan Kaufmann, 2009
Wang, Laung-Terng; Chang, Yao-Wen; Cheng, Tim Kwang-Ting Book
A built-in self-calibration scheme for pipelined ADCs
Proceedings of the 10th International Symposium on Quality Electronic Design, ISQED 2009, 2009, Article number 4810305, p. 266-271
Chang, Hsiu Ming Sherman; Lin, Kuanyu; Chen, Chinhsuan; Cheng, Tim Kwang-Ting Conference paper
An experimental study on content-based face annotation of photos
IEEE 3rd International Conference on Biometrics: Theory, Applications and Systems, BTAS 2009, 2009, article number 5339084
Yeh, Meichen; Zhang, Sheng; Cheng, Tim Kwang-Ting Conference paper
An Instrumented Observability Coverage Method for System Validation
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, November 2009, article number 5340171, p. 88-93
Lisherness, Peter; Cheng, Tim Kwang-Ting Conference paper
Calibration and testing time reduction techniques for a digitally-calibrated pipelined ADC
Proceedings of the IEEE VLSI Test Symposium, 2009, article number 5116649, p. 291-296
Chang, Hsiu Ming Sherman; Chen, Chinhsuan; Lin, Kuanyu; Cheng, Tim Kwang-Ting Conference paper
Calibration as a functional test: An ADC case study
Proceedings of the Asian Test Symposium, 2009, article number 5359403, p. 85-86
Chang, Hsiu Ming Sherman; Lin, Kuanyu; Cheng, Tim Kwang-Ting Conference paper
Design for low power and reliable flexible electronics: Self-tunable cell-library design
Journal of Display Technology, v. 5, (6), June 2009, article number 4982840, p. 206-2015
Huang, Tsung-Ching; Cheng, Tim Kwang-Ting Conference paper
Dynamic Test Compaction for Transition Faults in Broadside Scan Testing Based on an Influence Cone Measure
Proceedings of the IEEE VLSI Test Symposium, 2009, article number 5116643, p. 251-256
Xiang, Dong; Yin, Boxue; Cheng, Tim Kwang-Ting Conference paper
Low Overhead Time-Multiplexed Online Checking: A Case Study of An H.264 Decoder
Proceedings of the Asian Test Symposium, 2009, article number 5359387, p. 119-124
Gao, Ming; Cheng, Tim Kwang-Ting Conference paper
MyFinder: near-duplicate detection for large image collections
MM'09 - Proceedings of the 2009 ACM Multimedia Conference, with Co-located Workshops and Symposiums / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2009, p. 1013-1014
Yang, Xin; Zhu, Qiang; Cheng, Tim Kwang-Ting Conference paper
Near-duplicate detection for images and videos
1st ACM Workshop on Large-Scale Multimedia Retrieval and Mining, LS-MMRM 2009, Co-located with the 2009 ACM International Conference on Multimedia, MM'09 / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2009, p. 73-80
Yang, Xin; Zhu, Qiang; Cheng, Tim Kwang-Ting Conference paper
TAC: Testing time reduction for digitally-calibrated designs
2009 IEEE 15th International Mixed-Signals, Sensors, and Systems Test Workshop, IMS3TW '09, 2009, article number 5158690
Chang, Hsiu Ming Sherman; Cheng, Tim Kwang-Ting Conference paper
Test strategies for adaptive equalizers
Proceedings of the Custom Integrated Circuits Conference, 2009, article number 5280777, p. 597-604
Cheng, Tim Kwang-Ting; Chang, Hsiu Ming Sherman Conference paper
Video copy detection by fast sequence matching
CIVR 2009 - Proceedings of the ACM International Conference on Image and Video Retrieval / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2009, p. 1-7, Article No.: 45
Yeh, Meichen; Cheng, Tim Kwang-Ting Conference paper
Yield and cost analysis of a reliable NoC
Proceedings of the IEEE VLSI Test Symposium, 2009, article number 5116629, p. 173-178
Shamshiri, Saeed; Cheng, Tim Kwang-Ting Conference paper

2008 15

A 1.25-KS/s 3-bit Flash ADC in A-Si:H TFTs for Flexible Sensors
Symposium digest of technical papers: Flexible Electronics and Displays, 2008, p. 60-61
Cheng, Tim Kwang-Ting; Yeh, Yung-Hui; Huang, Tsung-Ching Article
A Clock-Less Jitter Spectral Analysis Technique
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 55, (8), September 2008, article number 4447691, p. 2263-2272
Ong, Chee-Kian; Hong, Dongwoo; Cheng, Kwang Ting; Wang, Lichung Article
Digitally-Assisted Production Testing of a Digitally Calibrated RF Receiver
Poster, GSRC Annual Symposium, v.29,2008
Cheng, Tim Kwang-Ting; Chang, Hsiu Ming Sherman Article
G.P.11.07 Impairment of the endosomal/lysosomal system may contribute to muscle wasting in Pompe disease by altering the trafficking and processing of membrane stabilizing proteins
Neuromuscular Disorders, v. 18, (9-10), October 2008, p. 801-802
Wustman, B.A.; Voit, T.; Cuervo, A.M.; Massey, A.C.; Bandyopadhyay, Subhajyoti; Powe jr, A.C.; Cheng, Tim Kwang-Ting; Do, H.V.; Lockhart, D.J. Article
Logic Testing
Wiley Encyclopedia of Computer Science and Engineering, 2008
Cheng, Tim Kwang-Ting; Stroud, Charles E.; Wang, Laung-Terng Article
Reliability Analysis for Flexible Electronics: Case Study of Integrated a-Si:H TFT Scan Driver
ACM Journal on Emerging Technologies in Computing Systems, v. 4, (3), August 2008, article number 12
Kung, Chen Pang; Tseng, HuaiYuan; Cheng, Tim Kwang-Ting; Huang, Tsung-Ching Article
Time-Multiplexed Online Checking: Resilient Design for Cost-Sensitive SoCs
Poster, GSRC Annual Symposium, (29), 2008
Gao, Ming; Cheng, Tim Kwang-Ting Article
A cost analysis framework for multi-core systems with spares
Proceedings - International Test Conference, 2008, article number 4700562
Shamshiri, Saeed; Lisherness, Peter; Pan, Sung Jui; Cheng, Tim Kwang-Ting Conference paper
A real-time, embedded face-annotation system
MM'08 - Proceedings of the 2008 ACM International Conference on Multimedia, with co-located Symposium and Workshops / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2008, p. 989-990
Chu, Shih-Wei; Yeh, Meichen; Cheng, Tim Kwang-Ting Conference paper
A string matching approach for visual retrieval and classification
Proceedings of the 1st International ACM Conference on Multimedia Information Retrieval, MIR2008, Co-located with the 2008 ACM International Conference on Multimedia, MM'08 / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2008, p. 52-58
Yeh, Meichen; Cheng, Tim Kwang-Ting Conference paper
Accurate Bit-Error-Rate estimation for efficient high speed I/O testing
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS, 2008, article number 4746334, p. 1572-1575
Hong, Dongwoo; Cheng, Tim Kwang-Ting Conference paper
Bit-error rate estimation for bang-bang clock and data recovery circuit in high-speed serial links
Proceedings of the IEEE VLSI Test Symposium, 2008, article number 4511690, p. 17-22
Hong, Dongwoo; Cheng, Tim Kwang-Ting Conference paper
Digitally-assisted analog/RF testing for mixed-signal SoCs
Proceedings of the Asian Test Symposium, 2008, article number 4711557, p. 43-48
Chang, Hsiu Ming Sherman; Lin, Min-Sheng; Cheng, Tim Kwang-Ting Conference paper
RTL error diagnosis using a word-level SAT-solver
Proceedings - International Test Conference, 2008, article number 4700568
Mirzaeian, Saeed; Zheng, Feijun; Cheng, Tim Kwang-Ting Conference paper
Time-Multiplexed Online Checking: A Feasibility Study
Proceedings of the Asian Test Symposium, 2008, article number 4711619, p. 371-376
Gao, Ming; Chang, Hsiu Ming Sherman; Lisherness, Peter; Cheng, Tim Kwang-Ting Conference paper

2007 11

Multiple-fault diagnosis based on adaptive diagnostic test pattern generation
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 26, (5), May 2007, article number 8361586, p. 932-942
Lin, Yungchieh; Lu, Feng; Cheng, Tim Kwang-Ting Article
Silicon Debug for Timing Errors
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 26, (11), November 2007, article number 4352014, p. 2084-2088
Yang, Kai; Cheng, Tim Kwang-Ting Article
Summary of Current Activities
Talk or presentation, Joint Concurrent/Resilient Workshop, v. 9, June, 2007
Cheng, Tim Kwang-Ting Article
A framework for system reliability analysis considering both system error tolerance and component test quality
Proceedings -Design, Automation and Test in Europe, DATE, 2007, article number 4212037, p. 1581-1586
Pan, Sung Jui; Cheng, Tim Kwang-Ting Conference paper
A hybrid scheme for compacting test responses with unknown values
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2007, article number 4397316, p. 513-519
Chao, Mango Chia Tso; Cheng, Tim Kwang-Ting; Wang, Seongmoon; Chakradhar, Srimat T.; Wei, Wenlong Conference paper
A two-tone test method for continuous-time adaptive equalizers
Proceedings -Design, Automation and Test in Europe, DATE, 2007, article number 4211984, p. 1283-1288
Hong, Dongwoo; Saberi, Shadi; Cheng, Tim Kwang-Ting; Yue, Patrick Chik Conference paper
An accurate jitter estimation technique for efficient high speed I/O testing
Proceedings of the Asian Test Symposium, 2007, article number 4388017, p. 224-229
Hong, Dongwoo; Cheng, Tim Kwang-Ting Conference paper
An Efficient Diagnostic Test Pattern Generation Framework Using Boolean Satisfiability
Proceedings of the Asian Test Symposium, 2007, article number 4388027, p. 288-294
Zheng, Feijun; Cheng, Tim Kwang-Ting; Yan, Xiaolang; Moondanos, John; Hanna, Ziyad Conference paper
Diagnosing scan chains using SAT-based diagnostic pattern generation
Proceedings - 20th Anniversary IEEE International SOC Conference, 2007, article number 4545473, p. 273-276
Li, Jinfu; Zheng, Feijun; Cheng, Tim Kwang-Ting Conference paper
Reliability analysis for flexible electronics: Case study of integrated a-Si:H TFT scan driver
Proceedings - Design Automation Conference, 2007, article number 4261324, p. 966-969
Huang, Tsung-Ching; Tseng, HuaiYuan; Kung, Chen Pang; Cheng, Tim Kwnag-Ting Conference paper
Testable Design for Advanced Serial-Link Transceivers
Proceedings -Design, Automation and Test in Europe, DATE, 2007, article number 4211881, p. 695-700
Lin, Mitchell; Cheng, Tim Kwang-Ting Conference paper

2006 24

Annual index
IEEE Transactions on Computers, v. 55, (12), December 2006, article number 1717396, p. tc06-tc06
Cheng, Tim Kwang-Ting Article
Bit-Error-Rate Estimation for High-Speed Serial Links
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 53, (12), December 2006, article number 4026676, p. 2616-2627
Hong, Dongwoo; Ong, Chee-Kian; Cheng, Tim Kwang-Ting Article
Digitally-Assisted Analog Test, Characterization and Tuning for Mixed-Signal Systems
Talk or presentation, GSRC Resilient Theme Workshop, v. 7,Dec, 2006
Cheng, Tim Kwang-Ting Article
Failure Modeling Cluster
Talk or presentation, GSRC Annual Symposium, v. 28, 2006
Cheng, Tim Kwang-Ting Article
New beginnings, continued success
IEEE Design and Test of Computers, v. 23, (1), January-February 2006, article number 1583532, p. 5-6
Cheng, Tim Kwang-Ting Article
Pseudofunctional testing
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 25, (8), August 2006, article number 1637742, p. 1535-1546
Lin, Yungchieh; Lu, Feng; Cheng, Tim Kwang-Ting Article
System-Aware Test and Automatic Diagnosis for Sub-Systems
Talk or presentation, GSRC Quarterly Workshop, San Francisco, v. 23, July, 2006
Cheng, Tim Kwang-Ting Article
The New World of ESL Design
IEEE Design & Test of Computers, v. 23, (5), May 2006, article number 1704722, p. 333-333
Cheng, Tim Kwang-Ting Article
Vision from the Top
IEEE Design and Test of Computers, v. 23, (4), April 2006, article number 1683709, p. 261-261
Cheng, Tim Kwang-Ting Article
Automatic Test Pattern Generation
EDA for IC System Design, Verification, and Testing / Louis Scheffer, Luciano Lavagno, Grant Martin. United States: CRC Press, 2006, p. 22-1 - 22-5
Cheng, Tim Kwang-Ting; Wang, Lichung Book chapter
A Unified Approach to Test Generation and Test Data Volume Reduction
Proceedings - International Test Conference, 2006, article number 4079322
Lin, Yungchieh; Cheng, Tim Kwang-Ting Conference paper
Bit Error Rate Estimation for Improving Jitter Testing of High-Speed Serial Links
Proceedings - International Test Conference, v. 1, October 2006, article number 4079401
Hong, Dongwoo; Cheng, Tim Kwang-Ting Conference paper
Coverage Loss By Using Space Compactors in Presence of Unknown Values
Proceedings -Design, Automation and Test in Europe, DATE, v. 1, 2006, article number 1657047
Chao, Mango Chia Tso; Wang, Seongmoon; Chakradhar, Srimat T.; Wei, Wenlong; Cheng, Tim Kwang-Ting Conference paper
Efficient identification of multi-cycle false path
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2006, 2006, article number 1594709, p. 360-365
Yang, Kai; Cheng, Tim Kwang-Ting Conference paper
Fast Human Detection Using a Cascade of Histograms of Oriented Gradients
Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition, v. 2, 2006, article number 1640933, p. 1491-1498
Zhu, Qiang; Avidan, Shai; Yeh, Meichen; Cheng, Tim Kwang-Ting Conference paper
Generation of shorter sequences for high resolution error diagnosis using sequential SAT
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2006, 2006, article number 1594640, p. 25-29
Pan, Sung Jui; Cheng, Tim Kwang-Ting; Moondanos, John; Hanna, Ziyad Conference paper
IChecker: An Efficient Checker for Inductive Invariants
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, 2006, article number 4110086, p. 176-180
Lu, Feng; Cheng, Tim Kwang-Ting Conference paper
Multimodal fusion using learned text concepts for image categorization
Proceedings of the 14th Annual ACM International Conference on Multimedia, MM 2006 / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2006, p. 211-220
Zhu, Qiang; Yeh, Meichen; Cheng, Tim Kwang-Ting Conference paper
Multiple-Fault Diagnosis Based on Single-Fault Activation and Single-Output Observation
Proceedings -Design, Automation and Test in Europe, DATE, v. 1, 2006, article number 1656918
Lin, Yungchieh; Cheng, Tim Kwang-Ting Conference paper
On usage models of content-based image search, filtering, and annotation
Proceedings of SPIE - The International Society for Optical Engineering, v. 6061, 2006, article number 606102
Telleen-lawton, David; Chang, Edward Yi; Cheng, Tim Kwang-Ting; Chang, Chengwei Conference paper
Simulation-Based Functional Test Generation for Embedded Processors
IEEE Transactions on Computers, v. 55, (11), November 2006, article number 1705443, p. 1335-1343
Wen, Charles Hungpin; Wang, Lichung; Cheng, Tim Kwang-Ting Conference paper
Testable Design for Adaptive Linear Equalizer in High-Speed Serial Links
Proceedings - International Test Conference, October 2006, article number 4079376
Lin, Mitchell; Cheng, Tim Kwang-Ting Conference paper
Timing-reasoning-based delay fault diagnosis
Proceedings -Design, Automation and Test in Europe, DATE, v. 1, 2006, article number 1656917
Yang, Kai; Cheng, Tim Kwang-Ting Conference paper
Unknown-tolerance analysis and test-quality control for test response compaction using space compactors
DAC '06: Proceedings of the 43rd annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2006, p. 1083-1088
Chao, Mango Chia Tso; Cheng, Tim Kwang-Ting; Wang, Seongmoon; Chakradhar, Srimat T.; Wei, Wenlong Conference paper

2005 19

Using 2-domain partitioned OBDD data structure in an enhanced symbolic simulator
ACM Transactions on Design Automation of Electronic Systems, v. 10, (4), October 2005, p. 627-650
Feng, Tao; Wang, Lichung; Cheng, Tim Kwang-Ting; Lin, Chih-Chang Article
Embedded Software-Based Self-Testing for SoC Design
Embedded Systems Handbook / Richard Zurawski. United States: CRC Press, 2005, p. 28-1 - 28-19
Cheng, Tim Kwang-Ting Book chapter
Accurate diagnosis of multiple faults
Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors, v. 2005, 2005, article number 1524146, p. 153-156
Lin, Yungchieh; Lu, Feng; Cheng, Tim Kwang-Ting Conference paper
An efficient sequential SAT solver with improved search strategies
Proceedings -Design, Automation and Test in Europe, DATE '05, v. II, 2005, article number 1395740, p. 1102-1107
Lu, Feng; Iyer, Madhu K.; Parthasarathy, Ganapathy; Wang, Lichung; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien Conference paper
ChiYun compact: a novel test compaction technique for responses with unknown values
Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors, v. 2005, 2005, article number 1524145, p. 147-152
Chao, Mango Chia Tso; Wang, Seongmoon; Chakradhar, Srimat T.; Cheng, Tim Kwang-Ting Conference paper
Constraint extraction for pseudo-functional scan-based delay testing
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 1, 2005, article number 1466151, p. 166-171
Lin, Yungchieh; Lu, Feng; Yang, Kai; Cheng, Tim Kwang-Ting Conference paper
Efficient conflict-based learning in an RTL circuit constraint solver
Proceedings -Design, Automation and Test in Europe, DATE '05, v. II, 2005, article number 1395651, p. 666-671
Iyer, Madhu K.; Parthasarathy, Ganapathy; Cheng, Tim Kwang-Ting Conference paper
Learning a sparse, corner-based representation for time-varying background modelling
Proceedings of the IEEE International Conference on Computer Vision, v. I, 2005, article number 1541319, p. 678-685
Zhu, Qiang; Avidan, Shai; Cheng, Tim Kwang-Ting Conference paper
On a software-based self-test methodology and its application
Proceedings of the IEEE VLSI Test Symposium, 2005, article number 1443407, p. 107-113
Wen, Charles Hungpin; Wang, Lichung; Cheng, Tim Kwang-Ting; Yang, Kai; Liu, Weiting; Chen, Jijan Conference paper
On statistical correlation based path selection for timing validation
2005 IEEE VLSI-TSA International Symposium on VLSI Design, Automation and Test (VLSI-TSA-DAT), v. 2005, 2005, article number 1500006, p. 8-11
Yang, Kai; Wang, Lichung; Cheng, Tim Kwang-Ting; Kundu, Sandip Conference paper
Production-oriented interface testing for PCI-Express by enhanced loop-back technique
Proceedings - International Test Conference, v. 2005, 2005, article number 1584028, p. 661-670
Lin, Mitchell; Cheng, Tim Kwang-Ting; Hsu, Jimmy; Sun, M.C.; Chen, Jason; Lu, Shelton Conference paper
Pseudo-functional scan-based BIST for delay fault
Proceedings of the IEEE VLSI Test Symposium, 2005, article number 1443428, p. 229-234
Lin, Yungchieh; Lu, Feng; Cheng, Tim Kwang-Ting Conference paper
Response shaper: a novel technique to enhance unknown tolerance for output response compaction
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, v. 2005, 2005, article number 1560044, p. 80-87
Chao, Mango Chia Tso; Wang, Seongmoon; Chakradhar, Srimat T.; Cheng, Tim Kwang-Ting Conference paper
RTL SAT simplification by boolean and interval arithmetic reasoning
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, v. 2005, 2005, article number 1560082, p. 297-302
Parthasarathy, Ganapathy; Iyer, Madhu K.; Cheng, Tim Kwang-Ting; Brewer, Forrest D. Conference paper
Sequential equivalence checking based on K-th invariants and circuit SAT solving
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, v. 2005, 2005, article number 1568812, p. 45-51
Lu, Feng; Cheng, Tim Kwang-Ting Conference paper
Simulation-based functional test generation for embedded processors
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, v. 2005, 2005, article number 1568806, p. 3-10
Wen, Charles Hungpin; Wang, Lichung; Cheng, Tim Kwang-Ting Conference paper
Simulation-based target test generation techniques for improving the robustness of a software-based-self-test methodology
Proceedings - International Test Conference, v. 2005, 2005, article number 1584059, p. 936-945
Wen, Charles Hungpin; Wang, Lichung; Cheng, Tim Kwang-Ting; Liu, Weiting; Chen, Jijan Conference paper
Structural search for RTL with predicate learning
Proceedings of the 42nd annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2005, p. 451-456
Parthasarathy, Ganapathy; Iyer, Madhu K.; Cheng, Tim Kwang-Ting; Brewer, Forrest D. Conference paper
Using visual features for anti-spam filtering
Proceedings - International Conference on Image Processing, ICIP, v. 3, 2005, article number 1530440, p. 509-512
Wu, Chingtung; Cheng, Tim Kwang-Ting; Zhu, Qiang; Wu, Yileh Conference paper

2004 25

A new sigma-delta modulator architecture for testing using digital stimulus
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 51, (1), January 2004, article number 1259506, p. 206-213
Ong, Chee-Kian; Cheng, Tim Kwang-Ting; Wang, Lichung Article
A signal correlation guided circuit-SAT solver
Journal of Universal Computer Science, v. 10, (12), 2004, p. 1629-1654
Lu, Feng; Wang, Lichung; Cheng, Tim Kwang-Ting; Moondanos, John; Hanna, Ziyad Article
Critical path selection for delay fault testing based upon a statistical timing model
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 23, (11), November 2004, article number 1350881, p. 1550-1565
Wang, Lichung; Liou, Jingjia; Cheng, Tim Kwang-Ting Article
New challenges in delay testing of nanometer, multigigahertz designs
IEEE Design and Test of Computers, v. 21, (3), May-June 2004, article number 1302090, p. 241-248
Mak, T.M.; Krstic, Angela; Cheng, Tim Kwang-Ting; Wang, Lichung Article
Safety property verification using sequential SAT and bounded model checking
IEEE Design and Test of Computers, v. 21, (2), March-April 2004, article number 1277906, p. 132-143
Parthasarathy, Ganapathy; Iyer, Madhu K.; Cheng, Tim Kwang-Ting; Wang, Lichung Article
Self-referential verification for gate-level implementations of arithmetic circuits
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 23, (7), July 2004, article number 1308403, p. 1102-1112
Chang, Ying Tsai; Cheng, Tim Kwang-Ting Article
A path-based methodology for post-silicon timing validation
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2004, article number 1382669, p. 713-720
Lee, Leonard; Wang, Lichung; Mak, T.M.; Cheng, Tim Kwang-Ting Conference paper
A scalable on-chip jitter extraction technique
Proceedings of the IEEE VLSI Test Symposium, 2004, article number 1299253, p. 267-272
Ong, Chee-Kian; Hong, Dongwoo; Cheng, Tim Kwang-Ting; Wang, Lichung Conference paper
A unified adaptive approach to accurate skin detection
Proceedings - International Conference on Image Processing, ICIP, v. 5, 2004, article number 1419517, p. 1189-1192
Zhu, Qiang; Cheng, Tim Kwang-Ting; Wu, Chingtung Conference paper
A Σ-Δ modulation based analog BIST system with a wide bandwidth fifth-order analog response extractor for diagnosis purpose
Proceedings of the Asian Test Symposium, 2004, article number 1376537, p. 62-67
Hong, Haochiao; Wu, Chengwen; Cheng, Tim Kwang-Ting Conference paper
Adaptive learning of an accurate skin-color model
Proceedings - Sixth IEEE International Conference on Automatic Face and Gesture Recognition, 2004, article number 1301506, p. 37-42
Zhu, Qiang; Cheng, Tim Kwang-Ting; Wu, Chingtung; Wu, Yileh Conference paper
An adaptive skin model and its application to objectionable image filtering
ACM Multimedia 2004 - proceedings of the 12th ACM International Conference on Multimedia / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2004, p. 56-63
Zhu, Qiang; Wu, Chingtung; Cheng, Tim Kwang-Ting; Wu, Yileh Conference paper
An efficient finite-domain constraint solver for circuits
Proceedings of the 41st annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2004, p. 212-217
Parthasarathy, Ganapathy; Iyer, Madhu K.; Cheng, Tim Kwang-Ting; Wang, Lichung Conference paper
BER estimation for serial links based on jitter spectrum and clock recovery characteristics
Proceedings - International Test Conference, 2004, article number 1387388, p. 1138-1147
Hong, Dongwoo; Ong, Chee-Kian; Cheng, Tim Kwang-Ting Conference paper
Efficient reachability checking using sequential SAT
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, article number 1337611, p. 418-423
Parthasarathy, Ganapathy; Iyer, Madhu K.; Cheng, Tim Kwang-Ting; Wang, Lichung Conference paper
Improved symbolic simulation by functional-space decomposition
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, article number 1337669, p. 634-639
Feng, Tao; Wang, Lichung; Cheng, Tim Kwang-Ting Conference paper
Improved symoblic simulation by dynamic funtional space partitioning
Proceedings - Design, Automation and Test in Europe Conference and Exhibition, v. 1, 2004, article number 1268825, p. 42-47
Feng, Tao; Wang, Lichung; Cheng, Tim Kwang-Ting; Lin, Chih-Chang Conference paper
Jitter spectral extraction for multi-gigahertz signal
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, p. 298-303
Ong, Chee-Kian; Hong, Dongwoo; Cheng, Tim Kwang-Ting; Wang, Lichung Conference paper
On path-based learning and its applications in delay test and diagnosis
Proceedings of the 41st annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2004, p. 492-497
Wang, Lichung; Mak, T.M.; Cheng, Tim Kwang-Ting; Abadir, Magdy S. Conference paper
On using a 2-domain partitioned OBDD data structure in verification
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, 2004, article number 1431234, p. 49-54
Feng, Tao; Wang, Lichung; Cheng, Tim Kwang-Ting; Lin, Chih-Chang Conference paper
Pattern selection for testing of deep sub-micron timing defects
Proceedings - Design, Automation and Test in Europe Conference and Exhibition, v. 2, 2004, article number 1269033, p. 1060-1065
Chao, Mango Chia Tso; Wang, Lichung; Cheng, Tim Kwang-Ting Conference paper
Random jitter extraction technique in a multi-gigahertz signal
Proceedings - Design, Automation and Test in Europe Conference and Exhibition, v. 1, 2004, article number 1268862, p. 286-291
Ong, Chee-Kian; Hong, Dongwoo; Cheng, Tim Kwang-Ting; Wang, Lichung Conference paper
SSD tracking using dynamic template and Log-polar transformation
2004 IEEE International Conference on Multimedia and Expo (ICME), v. 1, 2004, article number 1394294, p. 723-726
Zhu, Qiang; Cheng, Tim Kwang-Ting; Zhang, Hongjiang Conference paper
Static statistical timing analysis for latch-based pipeline designs
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2004, article number 1382622, p. 468-472
Chao, Mango Chia Tso; Wang, Lichung; Cheng, Tim Kwang-Ting; Kundu, Sandip Conference paper
TranGen: A SAT-based ATPG for path-oriented transition faults
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, article number 1337546, p. 92-97
Yang, Kai; Cheng, Tim Kwang-Ting; Wang, Lichung Conference paper

2003 26

A Multimodal Image Database System
International Conference on Computer Vision and Pattern Recognition, Madison, Wisconsin, United States, , 2003
Chang, Edward Yi; Li, Beitao; Lai, Wei-Cheng; Chang, Chengwei; Cheng, Tim Kwang-Ting; Crandell, Michael Article
An anatomy of a large-scale image search engine
Series in Machine Perception and Artificial Intelligence, v. 55, 2003, p. 235-254
Lai, Wei-Cheng; Cheng, Tim Kwang-Ting; Chang, Edward Yi Article
Critical Path Selection for Deep Sub-Micron Delay Test and Timing Validation
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, v. E86-A, (12), December 2003, p. 3038-3048
Liou, Jingjia; Wang, Lichung; Krstic, Angela; Cheng, Tim Kwang-Ting Article
DfT Sigma-Delta Modulator Architecture Implementation
Proc. IEEE International Mixed-Signal Testing Workshop, Seville, Spain, , June, 2003, p. 137-142
Cheng, Tim Kwang-Ting; Ong, Chee-Kian Article
Enhanced Symbolic Simulation for Functional Verification of Embedded Array Systems
Design Automation for Embedded Systems, v. 8, (2-3), June 2003, p. 173-188
Wang, Lichung; Feng, Tao; Cheng, Tim Kwang-Ting; Abadir, Magdy S.; Pandey, Manish Article
Guest editors' introduction: Speed test and speed binning for complex ICs
IEEE Design and Test of Computer, v. 20, (5), September-October 2003, article number 1232250, p. 6-7
Butler, Kenneth M.; Cheng,Tim Kwang-Ting; Wang, Lichung Article
Modeling, testing, and analysis for delay defects and noise effects in deep submicron devices
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 22, (6), June 2003, article number 1201588, p. 756-769
Liou, Jingjia; Krstic, Angela; Jiang, YiMing; Cheng, Tim Kwang Ting Article
Practical considerations in applying Σ-Δ modulation-based analog BIST to sampled-data systems
IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, v. 50, (9), Septemeber 2003, article number 1232530, p. 553-566
Hong, Haochiao; Huang, Jiun-Lang; Cheng, Tim Kwang-Ting; Wu, Chengwen; Kwai, Dingming Article
SHIVA – A Fast Hybrid Constraint Solver for Circuits
TECHCON 2003: Session Papers, 2003
Iyer, Madhu K.; Parthasarathy, Ganapathy; Cheng, Tim Kwang-Ting Article
Special Section on VLSI Design and CAD Algorithms-Timing Verification and Test Generation-Critical Path Selection for Deep Sub-Micron Delay Test and Timing Validation
IEICE Transactions on Fundamentals Electronics Communications and Computer Sciences, v. 86, (12), 2003, p.3038-3048
Liou, Jingjia; Wang, Lichung; Krstic, Angela; Cheng, Tim Kwang-Ting Article
A circuit SAT solver with signal correlation guided learning
Proceedings - Design, Automation, and Test in Europe Conference and Exhibition, 2003, Article number 1253719, p. 892-897
Lu, Feng; Wang, Lichung; Cheng, Tim Kwang-Ting; Huang, Ric C.Y. Conference paper
A comparison of BDDs, BMC, and sequential SAT for model checking
IEEE International High Level Design Validation and Test Workshop (HLDVT), v. 2003, 2003, Article number 1252490, p. 157-162
Parthasarathy, Ganapathy; Iyer, Madhu K.; Cheng, Tim Kwang-Ting; Wang, Lichung Conference paper
A signal correlation guided ATPG solver and its applications for solving difficult industrial cases
Proceedings of the 40th Design Automation Conference, 2003, p. 436-441
Lu, Feng; Wang, Lichung; Cheng, Tim Kwang-Ting; Moondanos, John; Hanna, Ziyad Conference paper
Delay defect diagnosis based upon a statistical timing model - The first step
IEE Proceedings - Computers and Digital Techniques, v. 150, (5 SPEC. ISS.), September 2003, p. 346-354
Krstic, Angela; Wang, Lichung; Cheng, Tim Kwang Ting; Liou, Jingjia; Abadir, Magdy S. Conference paper
Delay defect diagnosis based upon statistical timing models - The first step
Proceedings - Design, Automation, and Test in Europe Conference and Exhibition, 2003, Article number 1253628, p. 328-333
Krstic, Angela; Wang, Lichung; Cheng, Tim Kwang-Ting; Liou, Jingjia; Abadir, Magdy S. Conference paper
Delta-sigma modulator based mixed-signal BIST architecture for SoC
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2003-January, 2003, article number 1195106, p. 669-674
Ong, Chee-Kian; Cheng, Tim Kwang Ting; Wang, Lichung Conference paper
Diagnosis of delay defects using statistical timing models
Proceedings of the IEEE VLSI Test Symposium, v. 2003-January, 2003, article number 1197672, p. 339-344
Krstic, Angela; Wang, Lichung; Cheng, Tim Kwang Ting; Liou, Jingjia Conference paper
Diagnosis-Based Post-Silicon Timing Validation Using Statistical Tools and Methodologies
Proceedings of IEEE International Test Conference (ITC), 2003, p. 339-348
Krstic, Angela; Wang, Lichung; Cheng, Tim Kwang-Ting; Mak, T.M. Conference paper
Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models
Proceedings of the 40th annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2003, p. 668-673
Krstic, Angela; Wang, Lichung; Cheng, Tim Kwang Ting; Liou, Jingjia; Mak, T.M. Conference paper
Experience in critical path selection for deep sub-micron delay test and timing validation
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2003-January, 2003, article number 1195120, p. 751-756
Liou, Jingjia; Wang, Lichung; Krstic, Angela; Cheng, Tim Kwang Ting Conference paper
Multimedia web services for content filtering, searching, and digital rights management
ICICS-PCM 2003 - Proceedings of the 2003 Joint Conference of the 4th International Conference on Information, Communications and Signal Processing and 4th Pacific-Rim Conference on Multimedia, v. 1-3, 2003, article number 1292441, p. 191-196
Wu, Yileh; Chang, Chengwei; Lai, Wei-Cheng; Cheng, Tim Kwang-Ting; Chang, Edward Yi Conference paper
On structural vs. functional testing for delay faults
Proceedings - International Symposium on Quality Electronic Design, ISQED, v. 2003-January, 2003, article number 1194772, p. 438-441
Krstic, Angela; Liou, Jingjia; Cheng, Tim Kwang Ting; Wang, Lichung Conference paper
SATORI - A fast sequential sat engine for circuits
ICCAD: International Conference on Computer Aided Design., 2003, p. 320-325
Iyer, Madhu K.; Parthasarathy, Ganapathy; Cheng, Tim Kwang-Ting Conference paper
Test consideration for nanometer scale CMOS circuits
Proceedings of the IEEE VLSI Test Symposium, v. 2003, 2003, Article number 1197668, p. 313-315
Roy, Kaushik C.; Mak, T.M.; Cheng, Tim Kwang-Ting Conference paper
The Confluence of Manufacturing Test and Design Validation
Proceedings International Test Conference 2003; Charlotte, NC; United States, 30 September to 2 October 2003, p. 1293
Cheng, Tim Kwang Ting Conference paper
Using Logic Models to Predict the Detection Behavior of Statistical Timing Defects
Proceedings International Test Conference 2003, Charlotte, NC, USA, 30 September to 2 October 2003, p. 1041-1050
Wang, Lichung; Krstic, Angela; Lee, Leonard; Cheng, Tim Kwang Ting; Mercer, Melvin Ray; Williams, Thomas W.; Abadir, Magdy S. Conference paper

2002 18

Embedded software-based self-test for programmable core-based designs
IEEE Design and Test of Computers, v. 19, (4), July-August 2002, article number 1018130, p. 18-27
Krstic, Angela; Chen, Li; Lai, Wei-Cheng; Cheng, Tim Kwang-Ting; Dey, Su-Jit Article
An Enhanced BIST Scheme for ADC and Non-monotonic DAC
IEEE International Mixed-Signal Test Workshop, Montreux, Switzerland, June 19-21, 2002, 2002, p.171-180
Ong, Chee-Kian; Chang, Yeongjar; Chang, Soon-Jyh; Huang, Jiun-Lang; Huang, Kuo-Chan; Cheng, Tim Kwang-Ting; Wu, Wen-Ching Conference paper
Analysis of delay test effectiveness with a multiple-clock scheme
IEEE International Test Conference (TC), 2002, article number 1041786, p. 407-416
Liou, Jingjia; Wang, Lichung; Cheng, Tim Kwang-TIng; Dworak, Jennifer L.; Mercer, Melvin Ray; Kapur, Rohit; Williams, Thomas W. Conference paper
Combining ATPG and symbolic simulation for efficient validation of embedded array systems
IEEE International Test Conference (TC), 2002, article number 1041762, p. 203-212
Parthasarathy, Ganapathy; Iyer, Madhu K.; Feng, Tao; Wang, Lichung; Cheng, Tim Kwang-Ting; Abadir, Magdy S. Conference paper
Embedded Software-Based Self-Testing for SoC Design
Proceedings of the 39th annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2002, p. 355-360
Krstic, Angela; Lai, Wei-Cheng; Chen, Li; Cheng, Tim Kwang-Ting; Dey, Su-Jit Conference paper
Enhancing test efficiency for delay fault testing using multiple-clocked schemes
Proceedings - Design Automation Conference, 2002, article number 1012652, p. 371-374
Liou, Jingjia; Wang, Lichung; Cheng, Tim Kwang-Ting; Dworak, Jennifer L.; Mercer, Melvin Ray; Kapur, Rohit; Williams, Thomas W. Conference paper
False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation
Proceedings of the 39th annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2002, p. 566-569
Liou, Jingjia; Krstic, Angela; Wang, Lichung; Cheng, Tim Kwang-Ting Conference paper
Hybrid learning schemes for multimedia information retrieval
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 2532 LNCS, 2002, p. 556-563
Lai, Wei-Cheng; Chang, Edward Yi; Cheng, Tim Kwang-Ting Conference paper
MORF: A distributed multimodal information filtering system
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 2532 LNCS, 2002, p. 279-286
Wu, Yileh; Chang, Edward Yi; Cheng, Tim Kwang-Ting; Chang, Chengwei; Hsu, Chencha; Lai, Wei-Cheng; Wu, Chingtung Conference paper
On the development of ATPG based Satisfiability Checker
Proceedings of IEEE Microprocessor Test and Verification Workshop, 2002
Lyer, Madhu K.; Parthasarathy, Ganapathy; Cheng, Tim Kwang-Ting Conference paper
On theoretical and practical considerations of path selection for delay fault testing
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers /Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2002, p. 94-100
Liou, Jingjia; Wang, Lichung; Cheng, Tim Kwang-Ting Conference paper
On-chip analog response extraction with 1-bit Σ-Δ modulators
Proceedings of the Asian Test Symposium, v. 2002-January, 2002, article number 1181684, p. 49-54
Hong, Haochiao; Huang, Jiun-Lang; Cheng, Tim Kwang-Ting; Wu, Chengwen Conference paper
PBIR-MM: Multimodal image retrieval and annotation
Proceedings of the ACM International Multimedia Conference and Exhibition / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2002, p. 421-422
Lai, Wei-Cheng; Chang, Chengwei; Chang, Edward Yi; Cheng, Tim Kwang Ting; Crandell, Michael Conference paper
Self-referential verification of gate-level implementations of arithmetic circuits
Proceedings - Design Automation Conference, 2002, article number 1012641, p. 311-316
Chang, Ying Tsai; Cheng, Tim Kwang Ting Conference paper
Self-testing second-order delta-sigma modulators using digital stimulus
Proceedings of the IEEE VLSI Test Symposium, v. 2002-January, 2002, article number 1011122, p. 123-128
Ong, Chee-Kian; Cheng, Tim Kwang-Ting Conference paper
Software-based weighted random testing for IP cores in bus-based programmable SoCs
Proceedings of the IEEE VLSI Test Symposium, v. 2002-January, 2002, article number 1011125, p. 139-144
Iyer, Madhu K.; Cheng, Tim Kwang-Ting Conference paper
Supporting subjective image queries without seeding requirements - Proposing test queries for Benchathlon
Proceedings of SPIE - The International Society for Optical Engineering, v. 4672, 2002, p. 225-232
Chang, Edward Yi; Cheng, Tim Kwang-Ting Conference paper
Testing second-order delta-sigma modulators using pseudo-random patterns
Microelectronics Journal, v. 33, (10), October 2002, p. 807-814
Ong, Chee-Kian; Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Conference paper

2001 19

Limitations and challenges of computer-aided design technology for CMOS VLSI
Proceedings of the IEEE, v. 89, (3), March 2001, article number 915378, p. 341-365
Bryant, Randal E.; Cheng, Tim Kwang-Ting; Kahng, Andrew; Keutzer, Kurt W.; Ma?y, Wojciech P.; Newton, Richard A.; Pileggi, Lawrence T.; Rabaey, Jan M.; Sangiovanni-vincentelli, Alberto K. Article
Pattern generation for delay testing and dynamic timing analysis considering power-supply noise effects
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 20, (3), March 2001, article number 913759, p. 416-425
Krstic, Angela; Jiang, Yi-Min; Cheng, Tim Kwang-Ting Article
Using word-level ATPG and modular arithmetic constraint-solving techniques for assertion property checking
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 20, (3), March 2001, article number 913756, p. 381-391
Huang, Chung-Yang; Cheng, Tim Kwang-Ting Article
Vector generation for power supply noise estimation and verification of deep submicron designs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 9, (2), April 2001, article number 924055, p. 329-340
Jiang, Yi-Min; Cheng, Tim Kwang-Ting Article
Verifying sequential equivalence using ATPG techniques
ACM Transactions on Design Automation of Electronic Systems, v. 6, (2), April 2001, p. 224-275
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien Article
A self-test methodology for IP cores in bus-based programmable SoCs
Proceedings of the 19th IEEE VLSI Test Symposium, 2001, p. 198-203
Huang, Jingreng; Iyer, Madhu K.; Cheng, Tim Kwang-Ting Conference paper
An analysis of ATPG and SAT algorithms for formal verification
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, v. 2001-January, 2001, article number 972826, p. 177-182
Parthasarathy, Ganapathy; Huang, Chung-Yang; Cheng, Tim Kwang-Ting Conference paper
An on-chip short-time interval measurement technique for testing high-speed communication links
Proceedings of the IEEE VLSI Test Symposium, 2001, article number 923466, p. 380-385
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Conference paper
Delay testing considering crosstalk-induced effects
IEEE International Test Conference (TC), 2001, article number 966674, p. 558-567
Krstic, Angela; Liou, Jingjia; Jiang, Yi-Min; Cheng, Tim Kwang-Ting Conference paper
Embedded-software-based approach to testing crosstalk-induced faults at on-chip buses
Proceedings of the IEEE VLSI Test Symposium, 2001, article number 923440, p. 204-209
Lai, Wei-Cheng; Huang, Jingreng; Cheng, Tim Kwang-Ting Conference paper
Fast statistical timing analysis by probabilistic event propagation
Proceedings - Design Automation Conference, 2001, article number 935590, p. 661-666
Liou, Jingjia; Cheng, Tim Kwang-Ting; Kundu, Sandip; Krstic, Angela Conference paper
HRM - A hierarchical simulator for full-chip power network reliability analysis
Proceedings - International Symposium on Quality Electronic Design, ISQED, v. 2001-January, 2001, article number 915248, p. 307-312
Jiang, Yi-Min; Koh, Hanyoung; Cheng, Tim Kwang-Ting Conference paper
Induction-based gate-level verification of multipliers
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, 2001, article number 968617, p. 190-193
Chang, Ying Tsai; Cheng, Tim Kwang-Ting Conference paper
Instruction-level DfT for testing processor and IP cores in system-on-a-chip
Proceedings of the 38th annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2001, p. 59-64
Lai, Wei-Cheng; Cheng, Tim Kwang-Ting Conference paper
Mining image features for efficient query processing
Proceedings - IEEE International Conference on Data Mining, ICDM, 2001, article number 989539, p. 353-360
Li, Beitao; Lai, Wei-Cheng; Chang, Edward Yi; Cheng, Tim Kwang-Ting Conference paper
PBIR - Perception-based image retrieval
Proceedings of the ACM SIGMOD International Conference on Management of Data / Timos Sellis, Sharad Mehrotra, editors. New York, NY, United States : Association for Computing Machinery, 2001, p. 613-613
Chang, Edward Yi; Cheng, Tim Kwang-Ting; Chang, Lihyuarn L. Conference paper
PBIR: Perception-Based Image Retrieval-A system that can quickly capture subjective image query concepts
Proceedings of the ACM International Multimedia Conference and Exhibition / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2001, p. 611-614
Chang, Edward Yi; Cheng, Tim Kwang-Ting; Lai, Wei-Cheng; Wu, Chingtung; Chang, Chengwei; Wu, Yileh Conference paper
Support vector machine pairwise classifiers with error reduction for image classification
Proceedings of the ACM International Multimedia Conference and Exhibition / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2001, p. 32-37
Goh, Kingshy; Chang, Edward Yi; Cheng, Tim Kwang-Ting Conference paper
SVM binary classifier ensembles for image classification
International Conference on Information and Knowledge Management, Proceedings / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2001, p. 395-402
Goh, Kingshy; Chang, Edward Yi; Cheng, Tim Kwang-Ting Conference paper

2000 24

AQUILA: An equivalence checking system for large sequential designs
IEEE Transactions on Computers, v. 49, (5), May 2000, article number 859539, p. 443-464
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien; Huang, Chung-Yang; Brewer, Forrest D. Article
Estimation for maximum instantaneous current through supply lines for CMOS circuits
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 8, (1), February 2000, article number 820762, p. 61-73
Jiang, Yi-Min; Krstic, Angela; Cheng, Tim Kwang-Ting Article
Functionally testable path delay faults on a microprocessor
IEEE Design & Test of Computers, v. 17, (4), October-December 2000, article number 895002, p. 6-14
Lai, Wei-Cheng; Krstic, Angela; Cheng, Tim Kwang-Ting Article
On improving test quality of scan-based BIST
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 19, (8), August 2000, article number 856978, p. 928-938
Tsai, Huan-Chih; Cheng, Tim Kwang-Ting; Bhawmik, Sudipta Article
Test point selection for analog fault diagnosis of unpowered circuit boards
IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, v. 47, (10), October 2000, article number 877140, p. 977-987
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Article
Testable path delay fault cover for sequential circuits
Journal of Information Science and Engineering, v. 16, (5), September 2000, p. 673-686
Krstic, Angela; Chakradhar, Srimat T.; Cheng, Tim Kwang Ting Article
A BIST scheme for on-chip ADC and DAC testing
Proceedings -Design, Automation and Test in Europe, DATE, 2000, article number 840041, p. 216-220
Huang, Jiun-Lang; Ong, Chee-Kian; Cheng, Tim Kwang-Ting Conference paper
A delta-sigma modulation based BIST scheme for mixed-signal systems
2000 Southwest Symposium on Mixed-Signal Design, SSMSD 2000, 2000, article number 836463, p. 147-152
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Conference paper
A sigma-delta modulation based BIST scheme for mixed-signal circuits
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2000, p. 605-612
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Conference paper
A testability metric for path delay faults and its application
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC /Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2000, p. 593-598
Tsai, Huan-Chih; Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Conference paper
An FPGA-based re-configurable functional tester for memory chips
Proceedings of the Asian Test Symposium, 2000, article number 893602, p. 51-57
Huang, Jingreng; Ong, Chee-Kian; Cheng, Tim Kwang-Ting; Wu, Chengwen Conference paper
Assertion checking by combined word-level ATPG and modular arithmetic constraint-solving techniques
Proceedings of the 37th Annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2000, p. 118-123
Huang, Chung-Yang; Cheng, Tim Kwang-Ting Conference paper
Characterization of a pseudo-random testing technique for analog and mixed-signal built-in-self-test
Proceedings of the IEEE VLSI Test Symposium, 2000, article number 843851, p. 237-246
Tofte, Jan Arild; Ong, Chee-Kian; Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Conference paper
Collaboration between industry and academia in test research
Proceedings of the Ninth Asian Test Symposium, 2000, article number 893596
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.; Jou, Jing-Yang; Wang, Lichung; Wu, Chi-Feng; Wu, Shianling Conference paper
Dynamic timing analysis considering power supply noise effects
Proceedings - International Symposium on Quality Electronic Design, ISQED, v. 2000-January, 2000, article number 838866, p. 137-143
Jiang, Yi-Min; Krstic, Angela; Cheng, Tim Kwang-Ting Conference paper
Efficient test mode selection and insertion for RTL-BIST
IEEE International Test Conference (TC), 2000, article number 894214, p. 263-272
Roy, Subrata; Guner, Gokhan; Cheng, Tim Kwang-Ting Conference paper
On testing the path delay faults of a microprocessor using its instruction set
Proceedings 18th IEEE VLSI Test Symposium, 2000, article number 843821, p. 15-20
Lai, Wei-Cheng; Krstic, Angela; Cheng, Tim Kwang-Ting Conference paper
Path selection and pattern generation for dynamic timing analysis considering power supply noise effects
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, v. 2000-January, 2000, article number 896521, p. 493-496
Liou, Jingjia; Krstic, Angela; Jiang, Yi-Min; Cheng, Tim Kwang-Ting Conference paper
Path selection for delay testing of deep sub-micron devices using statistical performance sensitivity analysis
Proceedings of the IEEE VLSI Test Symposium, 2000, article number 843832, p. 97-104
Liou, Jingjia; Cheng, Tim Kwang-Ting; Mukherjee, Debaditya Aditya Conference paper
Performance sensitivity analysis using statistical methods and its applications to delay testing
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2000, article number 835169, p. 587-592
Liou, Jingjia; Krstic, Angela; Cheng, Tim Kwang-Ting; Mukherjee, Debaditya Aditya; Kundu, Sandip Conference paper
Static property checking using ATPG v.s. BDD techniques
IEEE International Test Conference (TC), 2000, article number 894219, p. 309-316
Huang, Chung-Yang; Yang, Bwolen; Tsai, Huan-Chih; Cheng, Tim Kwang-Ting Conference paper
Test challenges for deep sub-micron technologies
Proceedings of the 37th Annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2000, p. 142-149
Cheng, Tim Kwang-Ting; Dey, Su-Jit; Rodgers, Mike J.; Roy, Kaushik C. Conference paper
Test program synthesis for path delay faults in microprocessor cores
IEEE International Test Conference (TC), 2000, article number 894321, p. 1080-1089
Lai, Wei-Cheng; Krstic, Angela; Cheng, Tim Kwang-Ting Conference paper
Testing and characterization of the one-bit first-order delta-sigma modulator for on-chip analog signal analysis
IEEE International Test Conference (TC), 2000, article number 894315, p. 1021-1030
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Conference paper

1999 13

AutoFix: A hybrid tool for automatic logic rectification
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 18, (9), September 1999, article number 784128, p. 1376-1384
Huang, Shi-Yu; Chen, Kuang-Chien; Cheng, Tim Kwang-Ting Article
Current Directions in Automatic Test-Pattern Generation
Computer, v. 32, (11), November 1999, article number 803642, p. 58-64
Cheng, Tim Kwang-Ting; Krstic, Angela Article
ErrorTracer: Design error diagnosis based on fault simulation techniques
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 18, (9), September 1999, article number 784125, p. 1341-1352
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Article
Fault emulation: A new methodology for fault grading
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 18, (10), October 1999, article number 790625, p. 1487-1495
Cheng, Tim Kwang-Ting; Huang, Shi-Yu; Dai, Wei-Jin Article
Primitive delay faults: Identification, testing, and design for testability
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 18, (6), June 1999, article number 766720, p. 669-684
Krstic, Angela; Cheng, Tim Kwang-Ting; Chakradhar, Srimat T. Article
Test generation for linear time-invariant analog circuits
IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, v. 46, (5), May 1999, article number 769804, p. 554-564
Pan, Chen-Yang; Cheng, Tim Kwang-Ting Article
A new bare die test methodology
Proceedings of the IEEE VLSI Test Symposium, 1999, article number 766678, p. 290-295
Yang, Zao; Cheng, Tim Kwang-Ting; Tai, K.L. Conference paper
Analysis of performance impact caused by power supply noise in deep submicron devices
Proceedings - Design Automation Conference, 1999, article number 782118, p. 760-765
Jiang, Yi-Min; Cheng, Tim Kwang-Ting Conference paper
Delay testing considering power supply noise effects
IEEE International Test Conference (TC), 1999, article number 805629, p. 181-190
Krstic, Angela; Jiang, Yi-Min; Cheng, Tim Kwang-Ting Conference paper
Improving the test quality for scan-based BIST using a general test application scheme
Proceedings of the 36th annual ACM/IEEE Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1999, p. 748-753
Tsai, Huan-Chih; Cheng, Tim Kwang-Ting; Bhawmik, Sudipta Conference paper
Specification back-propagation and its application to DC fault simulation for analog/mixed-signal circuits
Proceedings of the IEEE VLSI Test Symposium, 1999, article number 766669, p. 220-225
Huang, Jiun-Lang; Pan, Chen-Yang; Cheng, Tim Kwang-Ting Conference paper
Testing high speed VLSI devices using slower testers
Proceedings of the IEEE VLSI Test Symposium, 1999, article number 766641, p. 16-21
Krstic, Angela; Cheng, Tim Kwang-Ting; Chakradhar, Srimat T. Conference paper
VIP - an input pattern generator for identifying critical voltage drop for deep sub-micron designs
Proceedings of the International Symposium on Low Power Electronics and Design, Digest of Technical Papers / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1999, p. 156-161
Jiang, Yi-Min; Young, Tak K.; Cheng, Tim Kwang-Ting Conference paper

1998 31

A hybrid methodology for switching activities estimation
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 17, (4), 1998, p. 357-366
Cheng, David Ihsin; Cheng, Tim Kwang-Ting; Wang, Deborah C.; Marek-Sadowska, Malgorzata M. Article
Efficient test-point selection for scan-based BIST
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 6, (4), December 1998, article number 736140, p. 667-676
Tsai, Huan-Chih; Cheng, Tim Kwang-Ting; Lin, Chih-Jen; Bhawmik, Sudipta Article
Test-point insertion: scan paths through functional logic
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 17, (9), 1998, p. 838-851
Lin, Chih-Chang; Marek-Sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting; Lee, Mike Tien-Chien Article
Delay Fault Testing for VLSI Circuits
Delay Fault Testing for VLSI Circuits / by Angela Krsti?, Kwang-Ting Cheng. United States: Springer US, 1998. Frontiers in Electronic Testing, v. 14.
Krstic, Angela; Cheng, Tim Kwang-Ting Book
Algorithm for Verifying Retimed Circuits
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 111-121, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
AQUILA: A Local BDD-based Equivalence Verifier
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 91-109, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
Case Studies on Delay Testing
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 33-44, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting Book chapter
Conclusions and Future Work
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 169-172, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting Book chapter
Delay Fault Models
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 23-31, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting Book chapter
Delay Fault Simulation
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 77-100, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting Book chapter
Design for Delay Fault Testability
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 131-155, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting Book chapter
ErrorTracer: Error Diagnosis by Fault Simulation
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 159-174, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
Extension to Sequential Error Diagnosis
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 175-187, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
Incremental logic rectification
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 189-209, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
Incremental Verification for Combinational Circuits
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 39-60, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
Incremental Verification for Sequential Circuits
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 61-90, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
Introduction to Logic Debugging
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 139-157, Book series: Frontiers in Electronic Testing, v.12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
Path Delay Fault Classification
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 45-76, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting Book chapter
RTL-to-Gate Verification
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 123-136, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
Symbolic Verification
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 17-37, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
Synthesis for Delay Fault Testability
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 157-168, Book series: Frontiers in Electronic Testing, v.14
Krstic, Angela; Cheng, Tim Kwang-Ting Book chapter
Test Application Schemes for Testing Delay Defects
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 7-22, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting Book chapter
Test Generation for Path Delay Faults
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 101-130, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting Book chapter
A hybrid power model for RTL power estimation
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 1998, article number 669550, p. 551-556
Jiang, Yi-Min; Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Wang, Deborah C.; Ho, Ching Yen Conference paper
An almost full-scan BIST solution - higher fault coverage and shorter test application time
IEEE International Test Conference (TC), 1998, article number 743305, p. 1065-1073
Tsai, Huan-Chih; Bhawmik, Sudipta; Cheng, Tim Kwang-Ting Conference paper
Estimation of maximum power supply noise for deep sub-micron designs
Proceedings of the International Symposium on Low Power Electronics and Design, Digest of Technical Papers / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1998, p. 233-238
Jiang, Yi-Min; Cheng, Tim Kwang-Ting; Deng, An-Chang Conference paper
Exact and approximate estimation for maximum instantaneous current of CMOS circuits
Proceedings -Design, Automation and Test in Europe, DATE, 1998, article number 655934, p. 698-702
Jiang, Yi-Min; Cheng, Tim Kwang-Ting Conference paper
Fault-simulation based design error diagnosis for sequential circuits
Proceedings - Design Automation Conference, 1998, article number 724548, p. 632-637
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien; Lu, Juin-Yeu Joseph Conference paper
Functional scan chain testing
Proceedings -Design, Automation and Test in Europe, DATE, 1998, article number 655868, p. 278-283
Chang, Douglas; Lee, Mike Tien-Chien; Cheng, Tim Kwang-Ting; Marek-sadowska, Malgorzata M. Conference paper
LIBRA - a library-independent framework for post-layout performance optimization
Proceedings of the International Symposium on Physical Design / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1998, p. 135-140
Huang, Ric C.Y.; Wang, Yucheng; Cheng, Tim Kwang-Ting Conference paper
National Science Foundation Workshop on future research directions in testing of electronic circuits and systems
IEEE International Test Conference (TC), 1998, article number 743355, p. 1157-1161
Cheng, Tim Kwang-Ting Conference paper

1997 14

Postlayout logic restructuring using alternative wires
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 16, (6), June 1997, article number 640617, p. 587-596
Chang, Shih-Chieh; Cheng, Tim Kwang Ting; Woo, Nam-Sung; Marek-sadowska, Malgorzata M. Article
Pseudorandom testing for mixed-signal circuits
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 16, (10), October 1997, article number 662678, p. 1173-1185
Pan, Chen-Yang; Cheng, Tim Kwang Ting Article
Resynthesis of Combinational Circuits for Path Count Reduction and for Path Delay Fault Testability
Journal of Electronic Testing: Theory and Application (JETTA), v. 11, (1), August 1997, p. 43-54
Krstic, Angela; Cheng, Tim Kwang-Ting Article
A Hybrid algorithm for test point selection for scan-based BIST
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1997, p. 478-483
Tsai, Huan-Chih; Cheng, Tim Kwang-Ting; Lin, Chih-Jen; Bhawmik, Sudipta Conference paper
Analog fault diagnosis for unpowered circuit boards
Proceedings of the 1997 IEEE International Test Conference (ITC), 1997, p. 640-648
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Conference paper
AQUILA: An equivalence verifier for large sequential circuits
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 1997, p. 455-460
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien Conference paper
Design for primitive delay fault testability
IEEE International Test Conference (TC), 1997, article number 639649, p. 436-445
Krstic, Angela; Chakradhar, Srimat T.; Cheng, Tim Kwang-Ting Conference paper
ErrorTracer: A fault simulation-based approach to design error diagnosis
IEEE International Test Conference (TC), 1997, article number 639713, p. 974-981
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien; Cheng, David Ihsin Conference paper
Estimation of maximum power and instantaneous current using a genetic algorithm
Proceedings of the 1997 IEEE Custom Integrated Circuits Conference, 1997, p. 135-138
Jiang, Yi-Min; Cheng, Tim Kwang-Ting; Krstic, Angela Conference paper
Fault macromodeling for analog/mixed-signal circuits
IEEE International Test Conference (TC), 1997, article number 639706, p. 913-922
Pan, Chen-Yang; Cheng, Tim Kwang-Ting Conference paper
Incremental logic rectification
Proceedings of the IEEE VLSI Test Symposium, 1997, p. 143-149
Huang, Shi-Yu; Chen, Kuang-Chien; Cheng, Tim Kwang-Ting Conference paper
Post-layout logic restructuring for performance optimization
Proceedings of the 1997 34th Design Automation Conference, 1997, p. 662-665
Jiang, Yi-Min; Krstic, Angela; Cheng, Tim Kwang-Ting; Marek-Sadowska, Malgorzata M. Conference paper
Test synthesis approach to reducing BALLAST DFT overhead
Proceedings of the 1997 34th Design Automation Conference, 1997, p. 466-471
Chang, Douglas; Lee, Mike Tien-Chien; Marek-Sadowska, Malgorzata M.; Aikyo, Takashi; Cheng, Tim Kwang-Ting Conference paper
Vector generation for maximum instantaneous current through supply lines for CMOS circuits
Proceedings of the 1997 34th Design Automation Conference, 1997, p. 383-388
Krstic, Angela; Cheng, Tim Kwang-Ting Conference paper

1996 21

Automatic generation of functionial vectors using the extended finite state machine model
ACM Transactions on Design Automation of Electronic Systems, v. 1, (1), January 1996, p. 57-79
Cheng, Tim Kwang-Ting; Krishnakumar, Avinash S. Article
Classification and identification of nonrobust untestable path delay faults
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 15, (8), August 1996, article number 511566, p. 845-853
Cheng, Tim Kwang-Ting; Chen, Hsi-Chuan Article
Design Validation: Formal Verification vs. Simulation vs. Functional Testing
Proceedings of the 14th IEEE VLSI Test Symposium (VTS '96), 1996
Abraham, J.; Bryant, Randal E.; Cheng, Tim Kwang-Ting; Dai, Wei-Jin Article
Fault macromodeling and a testing strategy for opamps
Journal of Electronic Testing: Theory and Applications (JETTA), v. 9, (3), December 1996, p. 225-235
Pan, Chen-Yang; Cheng, Tim Kwang-Ting; Gupta, Sandeep K. Article
Generation of high quality tests for robustly untestable path delay faults
IEEE Transactions on Computers, v. 45, (12), December 1996, article number 545968, p. 1379-1392
Cheng, Tim Kwang-Ting; Krstic, Angela; Chen, Hsi-Chuan Article
Perturb and simplify: multilevel boolean network optimizer
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 15, (12), December 1996, article number 552082, p. 1494-1504
Chang, Shih-Chieh; Marek-sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting Article
Tutorial and Survey Paper: Gate-Level test generation for sequential circuits
ACM Transactions on Design Automation of Electronic Systems, v. 1, (4), October 1996, p. 405-442
Cheng, Tim Kwang-Ting Article
An ATPG-based framework for verifying sequential equivalence
IEEE International Test Conference (TC), 1996, article number 557148, p. 865-874
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien; Glaeser, Uwe Conference paper
An Efficient compact test generator for IDDQ testing
Proceedings of the Asian Test Symposium, 1996, article number 555156, p. 177-182
Kondo, Hisashi; Cheng, Tim Kwang-Ting Conference paper
Built-In Self Test for Analog and Mixed-Signal Designs
Proceeding of 5th Asian Test Symposium, 1996, p. 197-198
Cheng, Tim Kwang-Ting Conference paper
Compact vector generation for accurate power simulation
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1996, p. 161-164
Huang, Shi-Yu; Chen, Kuang-Chien; Cheng, Tim Kwang-Ting; Lee, Mike Tien-Chien Conference paper
Driving toward higher IDDQ test quality for sequential circuits: A generalized fault model and its ATPG
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, 1996, article number 569610, p. 228-232
Kondo, Hisashi; Cheng, Tim Kwang-Ting Conference paper
Error correction based on verification techniques
Proceedings - Design Automation Conference, 1996, article number 545583, p. 258-261
Huang, Shi-Yu; Chen, Kuang-Chien; Cheng, Tim Kwang-Ting Conference paper
Identification and test generation for primitive faults
IEEE International Test Conference (TC), 1996, article number 557046, p. 423-432
Krstic, Angela; Cheng, Tim Kwang-Ting; Chakradhar, Srimat T. Conference paper
Implicit functional testing for analog circuits
Proceedings of the IEEE VLSI Test Symposium, 1996, article number 510898, p. 489-494
Pan, Chen-Yang; Cheng, Tim Kwang-Ting Conference paper
New hybrid methodology for power estimation
Proceedings - Design Automation Conference, 1996, article number 545616, p. 439-444
Cheng, David Ihsin; Cheng, Tim Kwang-Ting; Wang, Deborah C.; Marek-sadowska, Malgorzata M. Conference paper
Novel methodology for transistor-level power estimation
International Symposium on Low Power Electronics and Design, Digest of Technical Papers, 1996, article number 542732, p. 67-72
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien; Lee, Mike Tien-Chien Conference paper
On verifying the correctness of retimed circuits
Proceedings of the IEEE Great Lakes Symposium on VLSI, 1996, article number 497633, p. 277-280
Huang, Shi-Yu; Cheng, Tim Kwang Ting; Chen, Kuang-Chien Conference paper
Scan paths through functional logic
Proceedings of the Custom Integrated Circuits Conference, 1996, article number 510603, p. 487-490
Lin, Chih-Chang; Marek-sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting; Lee, Mike Tien-Chien Conference paper
Test point insertion: Scan paths through combinational logic
Proceedings - Design Automation Conference, 1996, article number 545585, p. 268-273
Lin, Chih-Chang; Marek-sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting; Lee, Mike Tien-Chien Conference paper
Testable path delay fault cover for sequential circuits
European Design Automation Conference - Proceedings, 1996, article number 558208, p. 220-226
Krstic, Angela; Chakradhar, Srimat T.; Cheng, Tim Kwang-Ting Conference paper

1995 14

Single-Clock Partial Scan
IEEE Design & Test of Computers, v. 12, (2), Summer 1995, article number 386002, p. 24-31
Cheng, Tim Kwang-Ting Article
Test synthesis: the practicality of DFT
EURO-DAC 1995, Brighton: Documentation tutorial, v. 4, 1995
Bennetts, R.G.; Cheng, Tim Kwang-Ting Article
Timing-Driven Partial Scan
IEEE Design & Test of Computers, v. 12, (4), Winter 1995, article number 491238, p. 52-59
Jou, Jing-Yang; Cheng, Tim Kwang-Ting Article
An Efficient algorithm for local don't care sets calculation
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1995, p. 663-667
Chang, Shih-Chieh; Marek-sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting Conference paper
Fast identification of robust dependent path delay faults
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1995, p. 112-125
Sparmann, Uwe; Luxenburger, D.; Cheng, Tim Kwang-Ting; Reddy, Sudhakar M. Conference paper
Fault emulation: A new approach to fault grading
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, 1995, article number 480203, p. 681-686
Cheng, Tim Kwang-Ting; Huang, Shi-Yu; Dai, Wei-Jin Conference paper
Generation of high quality tests for functional sensitizable paths
Proceedings of the IEEE VLSI Test Symposium, 1995, article number 512663, p. 374-379
Krstic, Angela; Cheng, Tim Kwang-Ting Conference paper
Logic optimization by an improved sequential redundancy addition and removal technique
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 1995, article number 486229, p. 235-240
Glaeser, Uwe; Cheng, Tim Kwang-Ting Conference paper
Logic synthesis for engineering change
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1995, p. 647-652
Lin, Chih-Chang; Chen, Kuang-Chien; Chang, Shih-Chieh; Marek-sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting Conference paper
Minimax end-to-end delay routing and capacity assignment for virtual circuit networks
Conference Record / IEEE Global Telecommunications Conference, v. 1-3, 1995, article number 502781, p. 2134-2138
Cheng, Tim Kwang-Ting; Lin, Frank Yeong-Sung Conference paper
Partial scan designs without using a separate scan clock
Proceedings of the IEEE VLSI Test Symposium, 1995, article number 512649, p. 277-282
Cheng, Tim Kwang-Ting Conference paper
Pseudo-random testing and signature analysis for mixed-signal circuits
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, 1995, article number 479999, p. 102-107
Pan, Chen-Yang; Cheng, Tim Kwang-Ting Conference paper
Speeding up power estimation by topological analysis
Proceedings of the Custom Integrated Circuits Conference, 1995, article number 518260, p. 623-626
Cheng, David Ihsin; Marek-sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting Conference paper
Timing-driven test point insertion for full-scan and partial-scan BIST
IEEE International Test Conference (TC), 1995, article number 529878, p. 506-514
Cheng, Tim Kwang-Ting; Lin, Chih-Jen Conference paper

1994 7

Automatic test generation for digital electronic circuits
AT&T Technical Journal, v. 73, (2), March-April 1994, article number 6768146, p. 19-29
Chakraborty, Tapan J.; Davidson, Scott; Maamari, Fadi; Cheng, Tim Kwang-Ting Article
Comprehensive fault macromodel for opamps
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1994, p. 334-348
Pan, Chen-Yang; Cheng, Tim Kwang-Ting; Gupta, Sandeep K. Conference paper
Generation of high quality non-robust tests for path delay faults
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1994, p. 365-369
Cheng, Tim Kwang-Ting; Chen, Hsi-Chuan Conference paper
Layout driven logic synthesis for FPGAs
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1994, p. 308-313
Chang, Shih-Chieh; Cheng, Tim Kwang-Ting; Woo, Nam-Sung; Marek-sadowska, Malgorzata M. Conference paper
On the computation of the set of reachable states of hybrid models
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1994, p. 615-620
Krishnakumar, Avinash S.; Cheng, Tim Kwang-Ting Conference paper
On the joint virtual path assignment and virtual circuit routing problem in ATM networks
1994 IEEE GLOBECOM Communications: The Global Bridge, v. 1-3, 1994, article number 512701, p. 777-782
Cheng, Tim Kwang-Ting; Lin, Frank Yeong-Sung Conference paper
Test Synthesis in Cooperation with the International Test Conference
IEEE Computer Society, v. 11, 1994, report number 1, p. 60-68
Bennetts, R.G.; Cheng, Tim Kwang-Ting; Kornegay, K.T.; Niermann, T.; Rajski, J.; Roy, Kaushik C.; Wagner, K. Conference paper

1993 11

Delay-Fault Test Generation and Synthesis for Testability Under a Standard Scan Design Methodology
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 12, (8), August 1993, article number 238614, p. 1217-1231
Cheng, Tim Kwang-Ting; Devadas, Srinivas; Keutzer, Kurt W. Article
On the over-specification problem in sequential ATPG algorithms
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 12, (10), October 1993, article number 256935, p. 1599-1604
Cheng, Tim Kwang-Ting; Ma, Hi-Keung Tony Article
Redundancy Removal for Sequential Circuits Without Reset States
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 12, (1), January 1993, article number 184840, p. 13-24
Cheng, Tim Kwang-Ting Article
STOIC: State Assignment Based on Output/Input Functions
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 12, (8), August 1993, article number 238605, p. 1123-1131
Pomeranz, Irith; Cheng, Tim Kwang-Ting Article
Transition Fault Testing for Sequential Circuits
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 12, (12), December 1993, article number 251160, p. 1971-1983
Cheng, Tim Kwang-Ting Article
Automatic functional test generation using the extended finite state machine model
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1993, p. 86-91
Cheng, Tim Kwang-Ting; Krishnakumar, Anjur Sundaresan Conference paper
Delay testing for non-robust untestable circuits
Proceedings of the International Test Conference, 1993, article number 470604, p. 954-961
Cheng, Tim Kwang-Ting; Chen, Hsi-Chuan Conference paper
Multi-chip Modules Introduction
IEEE Design and Test of Computers, v. 10, (4), 1993, p. 7
Dai, W.W.M.; Cheng, Tim Kwang-Ting Conference paper
Multi-level logic optimization by redundancy addition and removal
1993 European Conference on Design Automation with the European Event in ASIC Design, 1993, article number 386447
Cheng, Tim Kwang-Ting; Entrena, Luis A. Conference paper
Sequential logic optimization by redundancy addition and removal
Proceedings of 1993 International Conference on Computer Aided Design (ICCAD), 1993, article number 580074, p. 310-315
Entrena, Luis A.; Cheng, Tim Kwang-Ting Conference paper
Virtual path assignment and virtual circuit routing in ATM networks
IEEE Global Telecommunications Conference, v. 1, 1993, article number 318043, p. 436-441
Lin, Frank Yeong-Sung; Cheng, Tim Kwang-Ting Conference paper

1992 9

A Functional Fault Model for Sequential Machines
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 11, (9), September 1992, article number 159992, p. 1065-1073
Cheng, Tim Kwang-Ting; Jou, Jing-Yang Article
Initializability Consideration in Sequential Machine Synthesis
IEEE Transactions on Computers, v. 41, (3), March 1992, article number 127453, p. 374-379
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Article
A partial enhanced-scan approach to robust delay-fault test generation for sequential circuits
Digest of Papers - International Test Conference, January 1992, article number 519700, p. 403-410
Cheng, Tim Kwang-Ting; Devadas, Srinivas; Keutzer, Kurt W. Conference paper
An ATPG-based approach to sequential logic optimization
1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers, 1992, article number 185279, p. 372-375
Cheng, Tim Kwang-Ting Conference paper
On the over-specification problem in sequential ATPG algorithms
Proceedings - Design Automation Conference, 1992, article number 227870, p. 16-21
Cheng, Tim Kwang-Ting; Ma, Hi-Keung Tony Conference paper
State assignment using input/output functions
Proceedings - Design Automation Conference, 1992, article number 227820, p. 573-577
Pomeranz, Irith; Cheng, Tim Kwang-Ting Conference paper
Test generation for delay faults in non-scan and partial scan sequential circuits
IEEE/ACM International Conference on Computer-Aided Design, 1992, article number 279313, p. 554-559
Cheng, Tim Kwang-Ting Conference paper
Timing-driven partial scan
1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers, 1992, p. 404-407
Jou, Jing-Yang; Cheng, Tim Kwang-Ting Conference paper
Transition fault simulation for sequential circuits
Proceedings - International Test Conference, v. 1992-January, 1992, article number 527894, p. 723-731
Cheng, Tim Kwang-Ting Conference paper

1991 6

Methods for synthesizing testable sequential circuits
AT&T Technical Journal, v. 70, (1), January-February 1991, article number 6769153, p. 64-86
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Article
Behavioral and logic synthesis for performance and testability
4th Int. Syrup, IC Design, Manufacture and Applications ISIC-91, 1991, p. 23-28
Cheng, Tim Kwang-Ting; Dussault, J.; Fishburn, J.P.; Jou, Jing-Yang Conference paper
On removing redundancy in sequential circuits
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1991, p. 164-169
Cheng, Tim Kwang-Ting Conference paper
Pascant: A partial scan and test generation system
Proceedings of the Custom Integrated Circuits Conference, 1991, article number 163995, p. 439-442
Bhawmik, Sudipta; Lin, Chih-Jen; Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Conference paper
Robust delay-fault test generation and synthesis for testability under a standard scan design methodology
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1991, p. 80-86
Cheng, Tim Kwang-Ting; Devadas, Srinivas; Keutzer, Kurt W. Conference paper
Test function specification in synthesis
27th ACM/IEEE Design Automation Conference. Proceedings 1990 / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1991, p. 235-240
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting Conference paper

1990 9

A Partial Scan Method for Sequential Circuits with Feedback
IEEE Transactions on Computers, v. 39, (4), April 1990, article number 54847, p. 544-548
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Article
A Simulation-Based Method for Generating Tests for Sequential Circuits
IEEE Transactions on Computers, v. 39, (12), December 1990, article number 61065, p. 1456-1463
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.; Kuh, Ernest S. Article
Finite state machine synthesis with embedded test function
Journal of Electronic Testing, v. 1, (3), October 1990, p. 221-228
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting Article
A single-state-transition fault model for sequential machines
1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers, 1990, article number 129887, p. 226-229
Cheng, Tim Kwang-Ting; Jou, Jing-Yang Conference paper
An architecture for synthesis of testable finite state machines
Proceedings of the European Design Automation Conference, EDAC 1990, 1990, article number 136719, p. 612-616
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting Conference paper
An entropy measure for the complexity of multi-output Boolean functions
27th ACM/IEEE Design Automation Conference. Proceedings 1990 / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1990, p. 302-305
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Conference paper
Functional test generation for finite state machines
Digest of Papers - International Test Conference, 1990, article number 114014, p. 162-168
Cheng, Tim Kwang-Ting; Jou, Jing-Yang Conference paper
Synthesis of testable finite state machines
Proceedings - IEEE International Symposium on Circuits and Systems, v. 1-4, 1990, article number 112671, p. 3114-3117
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Conference paper
Timing optimization with testability considerations
1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers, 1990, article number 129953, p. 460-463
Saldanha, Alexander C.; Brayton, Robert K.; Sangiovanni-vincentelli, Alberto K.; Cheng, Tim Kwang-Ting Conference paper

1989 7

A Directed Search Method for Test Generation Using a Concurrent Simulator
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 8, (2), February 1989, article number 21831, p. 131-138
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting; Agrawal, Prathima Article
Unified Methods for VLSI Simulation and Test Generation
Unified Methods for VLSI Simulation and Test Generation / Cheng, Tim Kwang-Ting, Agrawal, Vishwani D. . USA: Kluwer Academic Publishers Norwell, 1989
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Book
Concurrent test generation and design for testability
Proceedings - IEEE International Symposium on Circuits and Systems, v. 3, 1989, article number 100748, p. 1935-1938
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Conference paper
Design of sequential machines for efficient test generation
1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers, 1989, article number 76969, p. 358-361
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Conference paper
Economical scan design for sequential logic test generation
Digest of Papers - FTCS (Fault-Tolerant Computing Symposium), 1989, article number 105539, p. 28-35
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Conference paper
Fault simulation in a pipelined multiprocessor system
Proceedings. 'Meeting the Tests of Time'., International Test Conference, 1989, article number 82361, p. 727-734
Agrawal, Prathima; Agrawal, Vishwani D.; Cheng, Kwang-Ting; Tutundjian, Raffi Conference paper
State assignment for initializable synthesis
1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers, 1989, article number 76938, p. 212-215
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Conference paper

1988 4

Designing circuits with partial scan
IEEE Design & Test of Computers, v. 5, (2), April 1988, article number 2032, p. 8-15
Agrawal, Vishwani D.; Lin, Tonysheng; Cheng, Tim Kwang-Ting; Johnson, Daniel D. Article
Threshold-value Simulation and Test Generation
Testing and Diagnosis of VLSI and ULSI / Fabrizio Lombardi, Mariagiovanna Sami, editors. Dordrecht : Springer, 1988, p. 311-323, Book series: NATO ASI Series, v. 151
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting Book chapter
CONTEST: A concurrent test generator for sequential circuits.
Proceedings - Design Automation Conference, 1988, article number 14739, p. 84-89
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting; Agrawal, Prathima Conference paper
Sequential circuit test generation using threshold-value simulation
Digest of Papers - FTCS (Fault-Tolerant Computing Symposium), 1988, article number 5292, p. 24-29
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.; Kuh, Ernest S. Conference paper

1987 2

Complete solution to the partial scan problem
Digest of Papers - International Test Conference, 1987, p. 44-51
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting; Johnson, Daniel D.; Lin, Tonysheng Conference paper
Simulation-based directed-search method for test generation
Proceedings - 1987 IEEE International Conference on Computer Design: VLSI in Computers & Processors, 1987, p. 48-51
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Conference paper

1984 1

An Approach to structural optimization—sequential quadratic programming, SQP
Engineering Optimization, v. 8, (1), January 1984, p. 83-100
Qian, L.X.; Zhong, W.X.; Cheng, Tim Kwang-Ting; Sui, Y.K. Article

1982 1

Sequential Quadratic Programming and Dynamic Optimal Design of Rotating Blades
Optimization Methods in Structural Design, v. 1, 1982, p. 301
Cheng, Tim Kwang-Ting; Gu, Y. Conference paper





Article 8

Energy Efficiency and Yield Optimization for Optical Interconnects via Transceiver Grouping
Journal of Lightwave Technology, v. 39, (6), March 2021, article number 9265224, p. 1567-1578
Wang, Yuyang; Sun, Peng; Hulme, Jared; Seyedi, M. Ashkan; Fiorentino, Marco; Beausoleil, Raymond G.; Cheng, Kwang Ting
Fast Depth Prediction and Obstacle Avoidance on a Monocular Drone Using Probabilistic Convolutional Neural Network
IEEE Transactions on Intelligent Transportation Systems, v. 22, (1), January 2021, article number 8924655, p. 156-167
Yang, Xin; Chen, Jingyu; Dang, Yuanjie; Luo, Hongcheng; Tang, Yuesheng; Liao, Chunyuan; Chen, Peng; Cheng, Kwang Ting
Joint Multi-Dimension Pruning via Numerical Gradient Update
IEEE Transactions on Image Processing, v. 30, September 2021
Liu, Zechun; Zhang, Xiangyu; Shen, Zhiqiang; Wei, Yichen; Cheng, Kwang Ting; Sun, Jian
One-Shot Imitation Drone Filming of Human Motion Videos
IEEE Transactions on Pattern Analysis and Machine Intelligence, 18 March 2021, article number 9381626
Huang, Chong; Dang, Yuanjie; Chen, Peng; Yang, Xin; Cheng, Kwang Ting
R2F: A Remote Retraining Framework For AIoT Processors With Computing Errors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 29, (11), 26 July 2021, p. 1955-1966
Xu, Dawen; He, Meng; Liu, Cheng; Wang, Ying; Cheng, Long; Li, Huawei; Li, Xiaowei; Cheng, Kwang Ting
Ratio-based multi-level resistive memory cells
Scientific Reports, v. 11, (1), January 2021, article number 1351
Lastras-Monta?o, Miguel Angel; Del Pozo-Zamudio, Osvaldo; Glebsky, Lev; Zhao, Meiran; Wu, Huaqiang; Cheng, Kwang Ting
Reliability Evaluation and Analysis of FPGA-Based Neural Network Acceleration System
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 29, (3), March 2021, article number 9316989, p. 472-484
Xu, Dawen; Zhu, Ziyang; Liu, Cheng; Wang, Ying; Zhao, Shuang; Zhang, Lei; Liang, Huaguo; Li, Huawei; Cheng, Kwang Ting
The 2021 flexible and printed electronics roadmap
Flexible and Printed Electronics, v. 6, (2), June 2021, article number 023001
Cheng, Kwang Ting

Conference paper 6

Exploring intermediate representation for monocular vehicle pose estimation
IEEE/CVF Conference on Computer Vision and Pattern Recognition, CVPR 2021, Virtual, 19-25 June 2021
Li, Shichao; Yan, Zengqiang; Li, Hongyang; Cheng, Kwang Ting
High-fidelity and Large-Area Flexible Hybrid Sensing System (Invited)
FLEPS 2021 - IEEE International Conference on Flexible and Printable Sensors and Systems, June 2021 , article number 9469809
Shao, Leilai; Cheng, Kwang Ting
How Do Adam and Training Strategies Help BNNs Optimization?
International Conference on Machine Learning, v. 139, July 2021
Liu, Zechun; Shen, Zhiqiang; Li, Shichao; Helwegen, Koen; Huang, Dong; Cheng, Kwang Ting
Partial Is Better Than All: Revisiting Fine-tuning Strategy for Few-shot Learning
Proceedings of the AAAI Conference on Artificial Intelligence, v. 35, (11), 2021, article number 17155, p. 9594-9602
Shen, Zhiqiang; Liu, Zechun; Qin, Jie; Savvides, Marios; Cheng, Kwang Ting
S2-BNN: Bridging the Gap Between Self-Supervised Real and 1-Bit Neural Networks via Guided Distribution Calibration
IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR), Virtual, 19 - 25 June 2021
Shen, Zhiqiang; Liu, Zechun; Qin, Jie; Huang, Lei; Cheng, Kwang Ting; Savvides, Marios
Towards Robust Dual-View Transformation via Densifying Sparse Supervision for Mammography Lesion Matching
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 12905 LNCS, September 2021, p. 355-365
Xian, Junlin; Wang, Zhiwei; Cheng, Kwang Ting; Yang, Xin





Article 9

Bi-modality Medical Image Synthesis using Semi-supervised Sequential Generative Adversarial Networks
IEEE Journal of Biomedical and Health Informatics, v. 24, (3), March 2020, article number 8736809, p. 855-865
Yang, Xin; Lin, Yi; Wang, Zhiwei; Li, Xin; Cheng, Kwang-Ting Tim
Bi-Real Net: Binarizing Deep Network Towards Real-Network Performance
International Journal of Computer Vision, v. 128, (1), January 2020, p. 202-219
Liu, Zechun; Luo, Wenhan; Wu, Baoyuan; Yang, Xin; Liu, Wei; Cheng, Kwang Ting
Enabling a Single Deep Learning Model for Accurate Gland Instance Segmentation: A Shape-Aware Adversarial Learning Framework
IEEE Transactions on Medical Imaging, v. 39, (6), June 2020, article number 8959297, p. 2176-2189
Yan, Zengqiang; Yang, Xin; Cheng, Kwang Ting
Imitation Learning-based Algorithm for Drone Cinematography System
IEEE Transactions on Cognitive and Developmental Systems, 09 December 2020
Dang, Yuanjie; Huang, Chong; Chen, Peng; Liang, Ronghua; Yang, Xin; Cheng, Kwang Ting
Multi-task Siamese Network for Retinal Artery/Vein Separation via Deep Convolution along Vessel
IEEE Transactions on Medical Imaging, v. 39, (9), September 2020, article number 9032204, p. 2904-2919
Wang, Zhiwei; Jiang, Xixi; Liu, Jingen; Cheng, Kwang Ting; Yang, Xin
Process design kit and design automation for flexible hybrid electronics
Journal of the Society for Information Display, v. 28, (3), March 2020, p. 241-251
Huang, Tsung-Ching; Lei, Ting; Shao, Leilai; Sivapurapu, Sridhar; Swaminathan, Madhavan; Bao, Zhenan; Cheng, Kwang Ting; Beausoleil, Raymond
Roadmap on emerging hardware and technology for machine learning
Nanotechnology, v. 32, (1), 19 October 2020, article number 012002
Berggren, Karl; Xia, Qiangfei; Likharev, Konstantin K.; Strukov, Dmitri B.; Jiang, Hao; Mikolajick, Thomas; Querlioz, Damien; Salinga, Martin; Erickson, John R.; Pi, Shuang; Xiong, Feng; Lin, Peng; Li, Can; Chen, Yu; Xiong, Shisheng; Hoskins, Brian D.; Daniels, Matthew W.; Cheng, Kwang Ting; Gong, Nanbo; Lastras-monta?o, Miguel Angel
Semi-supervised mp-MRI Data Synthesis with StitchLayer and Auxiliary Distance Maximization
Medical Image Analysis, v. 59, January 2020, article number 101565
Wang, Zhiwei; Lin, Yi; Cheng, Kwang Ting; Yang, Xin
Variation-Aware Federated Learning with Multi-Source Decentralized Medical Image Data
IEEE Journal of Biomedical and Health Informatics, v. 25, (7), July 2020, p. 2615-2628
Yan, Zengqing; Wicaksana, Jeffry; Wang, Zhiwei; Yang, Xin; Cheng, Kwang Ting

Conference paper 8

A Hybrid Computing Architecture for Fault-tolerant Deep Learning Accelerators
Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors, v. 2020-October, October 2020, article number 9283550, p. 478-485
Xu, Dawen; Chu, Cheng; Wang, Qianlong; Liu, Cheng; Wang, Ying; Zhang, Lei; Liang, Huaguo; Cheng, Kwang Ting
Binarizing MobileNet via Evolution-Based Searching
Proceedings: IEEE/CVF Conference on Computer Vision and Pattern Recognition CVPR 2020 / The Institute of Electrical and Electronics Engineers, Inc.. Piscataway, NJ : The Institute of Electrical and Electronics Engineers, Inc., 2020, p. 13417-13426, Article number 9156315
Phan, Hai; Liu, Zechun; Huynh, Dang; Savvides, Marios; Cheng, Kwang-Ting Tim; Shen, Zhiqiang
Cascaded Deep Monocular 3D Human Pose Estimation With Evolutionary Training Data
Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition / The Institute of Electrical and Electronics Engineers, Inc.. New York, NY, USA : The Institute of Electrical and Electronics Engineers, Inc., 2020, p. 6172-6182, Article number 9156273
Li, Shichao; Ke, Lei; Pratama, Kevin; Tai, Yu Wing; Tang, Chi Keung; Cheng, Kwang Ting
Characterization and applications of spatial variation models for silicon microring-based optical transceivers
Proceedings - Design Automation Conference, v. 2020-July, July 2020, article number 9218608
Wang, Yuyang; Hulme, Jared; Sun, Peng; Jain, Mudit; Seyedi, M. Ashkan; Fiorentino, Marco; Beausoleil, Raymond G.; Cheng, Kwang Ting
Multi-phase and Multi-level Selective Feature Fusion for Automated Pancreas Segmentation from CT Images
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 12264 LNCS, 2020, p. 460-469
Jiang, Xixi; Luo, Qingqing; Wang, Zhiwei; Mei, Tao; Wen, Yu; Li, Xin; Cheng, Kwang Ting; Yang, Xin
Persistent fault analysis of neural networks on FPGA-based acceleration system
2020 IEEE 31st International Conference on Application-specific Systems, Architectures and Processors (ASAP) / IEEE. New York, NY, USA : IEEE, 2020, p. 85-92, Article number 9153244
Xu, Dawen; Zhu, Ziyang; Liu, Cheng; Wang, Ying; Li, Huawei; Zhang, Lei; Cheng, Kwang Ting
ReActNet: Towards Precise Binary Neural Network with Generalized Activation Functions
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 12359 LNCS, 2020, p. 143-159
Liu, Zechun; Shen, Zhiqiang; Savvides, Marios; Cheng, Kwang Ting
Robust design of large area flexible electronics via compressed sensing
Proceedings - Design Automation Conference, v. 2020-July, July 2020, article number 9218570
Shao, Leilai; Lei, Ting; Huang, Tsung-Ching; Bao, Zhenan; Cheng, Kwang Ting





Article 9

A Three-stage Deep Learning Model for Accurate Retinal Vessel Segmentation
IEEE Journal of Biomedical and Health Informatics, v. 23, (4), July 2019, article number 8476171, p. 1427-1436
Yan, Zengqiang; Yang, Xin; Cheng, Kwang Ting
A Two-Stage Convolutional Neural Network for Pulmonary Embolism Detection From CTPA Images
IEEE Access, v. 7, 2019, article number 8746218, p. 84849-84857
Yang, Xin; Lin, Yi; Su, Jianchao; Wang, Xiang; Li, Xiang; Lin, Jingen; Cheng, Kwang Ting
Bayesian DeNet: Monocular Depth Prediction and Frame-wise Fusion with Synchronized Uncertainty
IEEE Transactions on Multimedia, v. 21, (11), November 2019, article number 8693882, p. 2701-2713
Yang, Xin; Gao, Yang; Luo, Hongcheng; Liao, Chunyuan; Cheng, Kwang Ting
Compact Modeling of Thin-Film Transistors for Flexible Hybrid IoT Design
IEEE Design & Test, v. 36, (4), August 2019, article number 8640824, p. 6-14
Shao, Leilai; Lei, Ting; Huang, Tsung-Ching; Li, Sicheng; Chu, Ta Ya; Wong, Man; Beausoleil, Raymond; Bao, Zhenan; Cheng, Kwang-Ting Tim
Low-voltage High-performance Flexible Digital and Analog Circuits Based on Ultrahigh-purity Semiconducting Carbon Nanotubes
Nature Communications, v. 10, (1), May 2019, article number 2161
Lei, Ting; Shao, Lei-Lai; Zheng, Yu-Qing; Pitner, Gregory; Fang, Guanhua; Zhu, Chenxin; Li, Sicheng; Beausoleil, Ray; Wong, H-S Philip; Huang, Tsung-Ching; Cheng, Kwang Ting; Bao, Zhenan
Process Design Kit and Design Automation for Flexible Hybrid Electronics
Journal of Microelectronics and Electronic Packaging, v. 16, (3), July 2019, p. 117-123
Huang, Tsung-Ching; Lei, Ting; Shao, Leilai; Sivapurapu, Sridhar; Swaminathan, Madhavan; Bao, Zhenan; Cheng, Kwang Ting; Beausoleil, Raymond
Reactive obstacle avoidance of monocular quadrotors with online adapted depth prediction network
Neurocomputing, v. 325, January 2019, p. 142-158
Yang, Xin; Luo, Hongcheng; Wu, Yuhao; Gao, Yang; Liao, Chunyuan; Cheng, Kwang Ting
Real-Time Dense Monocular SLAM With Online Adapted Depth Prediction Network
IEEE Transactions on Multimedia, v. 21, (2), February 2019, article number 8418760, p. 470-483
Luo, Hongcheng; Gao, Yang; Wu, Yuhao; Liao, Chunyuan; Yang, Xin; Cheng, Kwang Ting
Real-time Semantic Plane Reconstruction on a Monocular Drone Using Sparse Fusion
IEEE Transactions on Vehicular Technology, v. 68, (8), August 2019, article number 8741095, p. 7383-7391
Dang, Yuanjie; Chen, Peng; Liang, Ronghua; Huang, Chong; Tang, Yuesheng; Yu, Tianwei; Yang, Xin; Cheng, Kwang-Ting Tim

Conference paper 15

Automated Pulmonary Embolism Detection from CTPA Images Using an End-to-End Convolutional Neural Network
International Conference on Medical Image Computing and Computer-Assisted Intervention, v. 11767 LCNS, 2019, p. 280-288, Part of the Lecture Notes in Computer Science book series (LNCS, volume 11767)
Lin, Yi; Su, Jianchao; Wang, Xiang; Li, Xiang; Liu, Jingen; Cheng, Kwang Ting; Yang, Xin
Bidirectional tuning of microring-based silicon photonic transceivers for optimal energy efficiency
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, New York, USA : Institute of Electrical and Electronics Engineers Inc., 2019, p. 370-375
Wang, Yuyang; Ashkan Seyedi, M.; Hulme, Jared C.; Fiorentino, Marco; Beausoleil, Raymond G.; Cheng, Kwang Ting
Evaluating Assertion Set Completeness to Expose Hardware Trojans and Verification Blindspots
Proceedings of the 2019 Design, Automation and Test in Europe Conference and Exhibition, DATE 2019, May 2019, article number 8714883, p. 402-407
Fern, Nicole; Cheng, Kwang Ting
Latent weights do not exist: Rethinking binarized neural network optimization
Advances in Neural Information Processing Systems, v. 32, 2019
Helwegen, Koen; Widdicombe, James; Geiger, Lukas; Liu, Zechun; Cheng, Kwang Ting; Nusselder, Roeland
Learning to Capture a Film-Look Video with a Camera Drone
Proceedings of IEEE International Conference on Robotics and Automation, v. 2019-May, May 2019, article number 8793915, p. 1871-1877
Huang, Chong; Yang, Zhenyu; Kong, Yan; Chen, Peng; Yang, Xin; Cheng, Kwang-Ting Tim
Learning to Film from Professional Human Motion Videos
Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition, v. 2019-June, June 2019, article number 8953663, p. 4239-4248
Huang, Chong; Lin, Chuan-en; Yang, Zhenyu; Kong, Yan; Chen, Peng; Yang, Xin; Cheng, Kwang-Ting Tim
MetaPruning: Meta Learning for Automatic Neural Network Channel Pruning
Proceedings of the IEEE International Conference on Computer Vision / IEEE. Piscataway, NJ : IEEE, 2019, p. 3295-3304
Liu, Zechun; Mu, Haoyuan; Zhang, Xiangyu; Guo, Zichao; Yang, Xin; Cheng, Kwang Ting; Sun, Jian
Pre-silicon Formal Verification of JTAG Instruction Opcodes for Security
Proceedings - International Test Conference, v. 2018-October, January 2019, article number 8624739
Fern, Nicole; Cheng, Kwang Ting
Process design kit and design automation for flexible hybrid electronics
Digest of Technical Papers - SID International Symposium, v. 50, May 2019, article number 16-4, p. 217-220, Book 1: Session 16: Flexible TFTs
Huang, Tsung Ching; Lei, Ting; Shao, Leilai; Sivapurapu, Srudhar; Swaminathan, Madhavan; Li, Sicheng; Bao, Zhenan; Cheng, Kwang Ting; Beausoleil, Raymond
Process Design Kit and Design Automation for Flexible Hybrid Electronics
2019 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2019, April 2019, article number 8741745
Huang, Tsung-Ching; Lei, Ting; Shao, Leilai; Sivapurapu, Sridhar; Swaminathan, Madhavan; Li, Sicheng; Bao, Zhenan; Cheng, Kwang Ting; Beausoleil, Raymond
Process Design Kit and Design Automation for Flexible Hybrid Electronics
Proceedings of the 2019 Design, Automation and Test in Europe Conference and Exhibition, DATE 2019, May 2019, article number 8714975, p. 36-41
Huang, Tsung-Ching; Lei, Ting; Shao, Leilai; Sivapurapu, Sridhar; Swaminathan, Madhavan; Li, Sicheng; Bao, Zhenan; Cheng, Kwang Ting; Beausoleil, Raymond
Taming Emerging Devices' Variation and Reliability Challenges with Architectural and System Solutions [Invited]
IEEE International Conference on Microelectronic Test Structures, v. 2019-March, March 2019, article number 8730924, p. 90-95
Wang, Yuyang; Shao, Leilai; Lastras-monta?o, Miguel Angel; Cheng, Kwang Ting
Task mapping-assisted laser power scaling for optical network-on-chips
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, v. 2019-November, November 2019, article number 8942146
Wang, Yuyang; Cheng, Kwang Ting
Ultra-thin Skin Electronics for High Quality and Continuous Skin-Sensor-Silicon Interfacing
Proceedings - Design Automation Conference, June 2019, article number a16
Shao, Leilai; Li, Sicheng; Lei, Ting; Huang, Tsung Ching; Beausoleil, Raymond; Bao, Zhenan; Cheng, Kwang-Ting Tim
Visualizing the Decision-making Process in Deep Neural Decision Forest
IEEE Conference on Computer Vision and Pattern Recognition Workshop (CVPRW 2019)
Li, Shichao; Cheng, Kwang Ting





Article 7

A Lightweight Piecewise Linear Synthesis Method for Standard 12-lead ECG Signals Based on Adaptive Region Segmentation
PLoS ONE, v. 13, (10), October 2018, article number e0206170
Zhu, Huaiyu; Pan, Yun; Cheng, Kwang Ting; Huan, Ruohong
A Skeletal Similarity Metric for Quality Evaluation of Retinal Vessel Segmentation
IEEE Transactions on Medical Imaging, v. 37, (4), April 2018, article number 8125187, p. 1045-1057
Yan, Zengqiang; Yang, Xin; Cheng, Kwang Ting
Accurate Face Alignment and Adaptive Patch Selection for Heart Rate Estimation From Videos Under Realistic Scenarios
PLoS ONE, v. 13, (5), May 2018, article number e0197275
Wang, Zhiwei; Yang, Xin; Cheng, Kwang Ting
Automated Detection of Clinically Significant Prostate Cancer in mp-MRI Images Based on an End-to-End Deep Neural Network
IEEE Transactions on Medical Imaging, v. 37, (5), May 2018, article number 8245842, p. 1127-1139
Wang, Zhiwei; Liu, Chaoyue; Cheng, Danpeng; Wang, Liang; Yang, Xin; Cheng, Kwang Ting
Joint Segment-Level and Pixel-Wise Losses for Deep Learning Based Retinal Vessel Segmentation
IEEE Transactions on Biomedical Engineering, v. 65, (9), September 2018, article number 8341481, p. 1912-1923
Yan, Zengqiang; Yang, Xin; Cheng, Kwang Ting
Resistive random-access memory based on ratioed memristors
Nature Electronics, v. 1, (8), August 2018, p. 466-472
Lastras-monta?o, Miguel Angel; Cheng, Kwang Ting
Robust and Real-time Pose Tracking for Augmented Reality on Mobile Devices
Multimedia Tools and Applications, v. 77, (6), March 2018, p. 6607-6628
Yang, Xin; Guo, Jiabin; Xue, Tangli; Cheng, Tim Kwang-Ting

Conference paper 11

A Deep Model with Shape-preserving Loss for Gland Instance Segmentation
International Conference on Medical Image Computing and Computer-Assisted Intervention, v. 11071 LNCS, 2018, p. 138-146, Part of the Lecture Notes in Computer Science book series (LNCS, volume 11071)
Yan, Zengqiang; Yang, Xin; Cheng, Kwang Ting
ACT: An Autonomous Drone Cinematography System for Action Scenes
Proceedings - IEEE International Conference on Robotics and Automation, September 2018, article number 8460703, p. 7039-7046
Huang, Chong; Gao, Fei; Pan, Jie; Yang, Zhenyu; Qiu, Weihao; Chen, Peng; Yang, Xin; Shen, Shaojie; Cheng, Kwang Ting
Bi-Real Net: Enhancing the Performance of 1-bit CNNs with Improved Representational Capability and Advanced Training Algorithm
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 11219 LNCS, 2018, p. 747-763
Liu, Zechun; Wu, Baoyuan; Luo, W.Wenhan; Yang, Xin; Liu, Wei; Cheng, Kwangting
Compact Modeling of Carbon Nanotube Thin Film Transistors for Flexible Circuit Design
Proceedings of the 2018 Design, Automation and Test in Europe Conference and Exhibition, DATE 2018, v. 2018-January, April 2018, p. 491-496
Shao, Leilai; Huang, Tsung-Ching; Lei, Ting; Bao, Zhenan; Beausolei, Raymond; Cheng, Kwang Ting
Energy-Efficient Channel Alignment of DWDM Silicon Photonic Transceivers
Proceedings of the 2018 Design, Automation and Test in Europe Conference and Exhibition, DATE 2018, v. 2018-January, April 2018, p. 601-604
Wang, Yuyang; Seyedi, M. Ashkan; Wu, Rui; Hulme, Jared; Fiorentino, Marco; Beausoleil, Raymond G.; Cheng, Kwang Ting
Fully Printed Organic Pseudo-CMOS Circuits for Sensing Applications
2018 International Flexible Electronics Technology Conference, IFETC 2018, December 2018, article number 8584012
Shao, Leilai; Chu, TaYa; Tao, Ye; Cheng, Kwang Ting
Monocular Camera Based Real-time Dense Mapping Using Generative Adversarial Network
MM 2018 - Proceedings of the 2018 ACM Multimedia Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2018, p. 896-904
Yang, Xin; Chen, Jingyu; Wang, Zhiwei; Zhang, Qiaozhe; Liu, Wenyu; Liao, Chunyuan; Cheng, Kwang Ting
Pairing of Microring-based Silicon Photonic Transceivers for Tuning Power Optimization
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2018-January, February 2018, p. 135-140
Wu, Rui; Seyedi, M. Ashkan; Wang, Yuyang; Hulme, Jared; Fiorentino, Marco; Beausoleil, Raymond G.; Cheng, Kwang Ting
Process Design Kit for Flexible Hybrid Electronics
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2018-January, February 2018, article number 8297396, p. 651-657
Shao, Leilai; Huang, Tsung-Ching; Lei, Ting; Bao, Zhenan; Beausoleil, Raymond; Cheng, Kwang Ting
StitchAD-GAN for Synthesizing Apparent Diffusion Coefficient Images of Clinically Significant Prostate Cancer
British Machine Vision Conference 2018, BMVC 2018
Wang, Zhiwei; Lin, Yi; Liao, Chunyuan; Cheng, Kwang Ting; Yang, Xin
Through-the-Lens Drone Filming
IEEE International Conference on Intelligent Robots and Systems, December 2018, article number 8594333, p. 4692-4699
Huang, Chong; Yang, Zhenyu; Kong, Yan; Chen, Peng; Yang, Xin; Cheng, Tim Kwang Ting





Article 6

A multiply-add engine with monolithically integrated 3D memristor crossbar/CMOS hybrid circuit
Scientific Reports, v. 7, February 2017, article number 42429
Chakrabarti, Bhaswar; Lastras-monta?o, Miguel Angel; Adam, Gina; Prezioso, Mirko; Hoskins, Brian; Payvand, M.; Madhavan, A.; Ghofrani, A.; Theogarajan, L.; Cheng, Tim Kwang-Ting; Strukov, Dmitri B.
An Automated Method for Accurate Vessel Segmentation
Physics in Medicine and Biology, v. 62, (9), May 2017, article number 3757, p. 3757-3778
Yang, Xin; Liu, Chaoyue; Le minh, Hung; Wang, Zhiwei; Chien, Aichi; Cheng, Tim Kwang-Ting
Automated Diagnosis of Prostate Cancer in Multi-Parametric MRI Based on Multimodal Convolutional Neural Networks
Physics in Medicine and Biology, v. 62, (16), July 2017, p. 6497-6514
Le, Minh Hung; Chen, Jingyu; Wang, Liang; Wang, Zhiwei; Liu, Wenyu; Cheng, Tim Kwang-Ting; Yang, Xin
Compact Modeling for Silicon Photonic Heterogeneously Integrated Circuits
Journal of Lightwave Technology, v. 35, (14), 15 July 2017, article number 7932056, p. 2973-2980
Zhang, Zeyu; Wu, Rui; Wang, Yuyang; Zhang, Chong; Stanton, Eric J.; Schow, Clint L.; Cheng, Kwang Ting; Bowers, John E.
Co-trained Convolutional Neural Networks for Automated Detection of Prostate Cancer in Multi-parametric MRI
Medical Image Analysis, v. 42, December 2017, p. 212-227
Yang, Xin; Liu, Chaoyue; Wang, Zhiwei; Yang, Jun; Min, Hungle; Wang, Liang; Cheng, Kwang Ting (Tim)
Hiding Hardware Trojan Communication Channels in Partially Specified SoC Bus Functionality
IEEE Transactions on Computer-Aided Design of Integrated Circuits and System, v. 36, (9), September 2017, article number 7781598, p. 1435-1444
Fern, Nicole Chan; San, Ismail; Koc, Cetin Kaya; Cheng, Kwang Ting

Book chapter 1

Verification and Trust for Unspecified IP Functionality
Hardware IP Security and Trust / Prabhat Mishra, Swarup Bhunia, Mark Tehranipoor, editors. Cham : Springer, 2017, p. 255-285
Fern, Nicole; Cheng, Kwang Ting

Conference paper 9

3D-DPE: A 3D High-Bandwidth Dot-Product Engine for High-Performance Neuromorphic Computing
Proceedings of the 2017 Design, Automation and Test in Europe, DATE 2017, May 2017, article number 7927183, p. 1257-1260
Lastras-montano, Miguel Angel; Chakrabarti, Bhaswar; Strukov, Dmitri B.; Cheng, Tim Kwang-Ting
An Artificial Neural Network Approach for Screening Test Escapes
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, February 2017, article number 7858358, p. 414-419
Lin, Fan; Cheng, Tim Kwang-Ting
Compact Modeling and Circuit-Level Simulation of Silicon Nanophotonic Interconnects
Proceedings of the 2017 Design, Automation and Test in Europe, DATE 2017, May 2017, article number 7927057, p. 602-605
Wu, Rui; Wang, Yuyang; Zhang, Zeyu; Zhang, Chong; Schow, Clint L.; Bowers, John E.; Cheng, Tim Kwang-Ting
Detecting Hardware Trojans in Unspecified Functionality through Solving Satisfiability Problems
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, February 2017, article number 7858389, p. 598-604
Fern, Nicole; San, Ismail; Cheng, Tim Kwang-Ting
DLPS: Dynamic Laser Power Scaling for Optical Network-on-Chip
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2017, February 2017, article number 7858410, p. 726-731
Lan, Fan; Wu, Rui; Zhang, Chong; Pan, Yun; Cheng, Kwang Ting
Joint Detection and Diagnosis of Prostate Cancer in Multi-parametric MRI Based on Multimodal Convolutional Neural Networks
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 10435 LNCS, 2017, p. 426-434
Yang, Xin; Wang, Zhiwei; Liu, Chaoyue; Le, Hungminh; Chen, Jingyu; Cheng, Tim Kwang-Ting; Wang, Liang
Mining Mutation Testing Simulation Traces for Security and Testbench Debugging
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, v. 2017-November, December 2017, p. 714-721
Fern, Nicole; Cheng, Tim Kwang-Ting
REDBEE: A visual-inertial drone system for real-time moving object detection
IEEE International Conference on Intelligent Robots and Systems, v. 2017-September, December 2017, article number 8205985, p. 1725-1731
Huang, Chong; Chen, Peng; Yang, Xin; Cheng, Tim Kwang-Ting
Robust Design and Design Automation for Flexible Hybrid Electronics
Proceedings - IEEE International Symposium on Circuits and Systems, v. 0, September 2017, article number 8050681
Huang, Tsungching; Shao, Leilai; Lei, Ting; Beausoleil, Raymond G.; Bao, Zhenan; Cheng, Kwang Ting





Article 3

An Efficient Network-on-Chip Yield Estimation Approach Based on Gibbs Sampling
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 35, (3), March 2016, article number 7229262, p. 447-457
Lan, Fan; Pan, Yun; Cheng, Tim Kwang-Ting
Associative Memristive Memory for Approximate Computing in GPUs
IEEE Journal on Emerging and Selected Topics in Circuits and Systems, v. 6, (2), June 2016, article number 7446351, p. 222-234
Ghofrani, Amirali; Rahimi, Abbas; Lastras-monta?o, Miguel Angel; Benini, Luca; Gupta, Rajesh Kamal; Cheng, Tim Kwang-Ting
Renal Compartment Segmentation in DCE-MRI Images
Medical Image Analysis, v. 32, August 2016, p. 269-280
Yang, Xin; Le Minh, Hung; Cheng, Tim Kwang-Ting; Sung, Kyung Hyun; Liu, Wenyu

Book chapter 1

Automatic Test Pattern Generation
Electronic Design Automation for IC System Design, Verification, and Testing / Edited by Luciano Lavagno, Grant Martin, Igor L. Markov, Louis K. Scheffer. Boca Raton : CRC Press, 2016, p. 559-604
Cheng, Tim Kwang-Ting; Wang, Li-C; Li, Huawei; Li, James Chien-Mo

Conference paper 14

A Low-Power Hybrid Reconfigurable Architecture For Resistive Random-Access Memories
Proceedings - International Symposium on High-Performance Computer Architecture, v. 2016-April, April 2016, article number 7446057, p. 102-113
Miguel, Angel Lastras-Monta?o; Amirali, Ghofrani; Cheng, Tim Kwang-Ting
A Wearable Signal Acquisition System for Physiological Signs Including Throat PPG
Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS, v. 2016-October, October 2016, article number 7590774, p. 603-606
Zhong, Yizhou; Pan, Yun; Zhang, Ling; Cheng, Tim Kwang-Ting
Accurate and Efficient Pulse Measurement from Facial Videos on Smartphones
2016 IEEE Winter Conference on Applications of Computer Vision, WACV 2016, July 2016, article number 7477669, p. 1037-1044
Huang, Chong; Yang, Xin; Cheng, Tim Kwang-Ting
Detecting Hardware Trojans in Unspecified Functionality Using Mutation Testing
2015 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015, January 2016, article number 7372619, p. 560-566
Fern, Nicole; Cheng, Tim Kwang-Ting
Hardware Trojans in Incompletely Specified On-chip Bus Systems
Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016, April 2016, article number 7459366, p. 527-530
Fern, Nicole; San, Ismail; Kaya koc, Cetin; Cheng, Kwang-Ting Tim
In-place Repair for Resistive Memories Utilizing Complementary Resistive Switches
ISLPED '16: Proceedings of the 2016 International Symposium on Low Power Electronics and Design / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2016, p. 350-355
Ghofrani, Amirali; Lastras-montano, Miguel Angel; Wang, Yuyang; Cheng, Kwang-Ting Tim
Large-Signal Model for Small-Size High-Speed Carrier-Injection Silicon Microring Modulator
OSA technical Digest, 2016, article number IW1B.4
Wu, Rui; Chen, Chin-Hui; Ashkan seyedi; Huang, Tsung-Ching; Fiorentino, Marco; Beausoleil, Ray; Cheng, Kwang-Ting Tim
Local Feature Descriptor Learning with Adaptive Siamese Network
Local Features: State of the art, open problems and performance evaluation (ECCV 2016) The 14th European Conference on Computer Vision, Amsterdam, the Netherlands, 2016
Huang, Chong; Liu, Qiong; Chen, Yan-Ying; Cheng, Tim Kwang-Ting
Pairwise Proximity-Based Features for Test Escape Screening
2015 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015, January 2016, article number 7372584, p. 300-306
Lin, Fan; Hsu, Chun-Kai; Busetto, Alberto Giovanni; Cheng, Tim Kwang-Ting
Printed Circuits on Flexible Substrates: Opportunities and Challenges (invited paper)
2016 10th IEEE/ACM International Symposium on Networks-on-Chip, NOCS 2016, October 2016, article number 7579340
Huang, Tsung-Ching; Cheng, Tim Kwang-Ting; Beausoleil, Raymond G.
Process-variation tolerant flexible circuit for wearable electronics
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7527243, p. 353-356
Huang, Tsung-Ching; Cheng, Tim Kwang-Ting; Beausoleil, Raymond
Spatial Pattern Analysis of Process Variations in Silicon Microring Modulators
5th IEEE Photonics Society Optical Interconnects Conference, OI 2016, June 2016, article number 7482978, p. 116-117
Wu, Rui; Chen, Chin-Hui; Huang, Tsung-Ching; Beausoleil, Raymond G.; Cheng, Tim Kwang-Ting
Trojans Modifying Soft-Processor Instruction Sequences Embedded in FPGA Bitstreams
FPL 2016 - 26th International Conference on Field-Programmable Logic and Applications, September 2016, article number 7577347, p. 323-326
San, Ismail; Fern, Nicole; Koc, Cetin Kaya; Cheng, Tim Kwang-Ting
Variation and Failure Characterization Through Pattern Classification of Test Data From Multiple Test Stages
Proceedings - International Test Conference, v. 0, July 2016, article number 7805845
Hsu, Chun Kai; Sarson, Peter; Schatzberger, Gregor; Leisenberger, Friedrich; Carulli, John; Siddhartha, Siddhartha; Cheng, Tim Kwang-Ting





Article 5

A low-Power Variation-Aware Adaptive Write Scheme for Access-Transistor-Free Memristive Memory
ACM Journal on Emerging Technologies in Computing Systems, v. 12, (1), July 2015, article number 3
Ghofrani, Amirali; Lastras-monta?o, Miguel Angel; Gaba, Siddharth; Payvand, Melika; Lu, Wei; Theogarajan, Luke Satish Kumar; Cheng, Tim Kwang-Ting
A Power Efficient Test Data Compression Method on Count Compatible PRL Coding
Journal of Circuits, Systems and Computers, v. 24, (6), July 2015, article number 1550084
Yuan, Haiying; Mei, Jiaping; Sun, Xun; Cheng, Tim Kwang-Ting; Guo, Kun
Athermal silicon ring resonators clad with titanium dioxide for 1.3μm wavelength operation
Optics Express, v. 23, (20), October 2015, p. 25653-25660
Feng, Shaoqi; Shang, Kuanping; Bovington, Jock T.; Wu, Rui; Guan, Binbin; Cheng, Tim Kwang-Ting; Bowers, John E.; Yoo, S. J. Ben
Compact models for carrier-injection silicon microring modulators
Optics Express, v. 23, (12), June 2015, p. 15545-15554
Wu, Rui; Chen, Chin-Hui; Fédéli, Jean Marc; Fournier, Maryse; Cheng, Tim Kwang-Ting; Beausoleil, Raymond G.
Design, Automation, and Test for Low-Power and Reliable Flexible Electronics
Foundations and Trends in Electronic Design Automation, v. 9, (2), January 2015, p. 99-210
Huang, Tsung-Ching; Huang, Jiun-Lang; Cheng, Tim Kwang-Ting

Book chapter 1

Mobile Image Search: Challenges and Methods
Mobile Cloud Visual Media Computing: From Interaction to Service / Gang Hua, Xian-Sheng Hua, editors. Switzerland : Springer International Publishing, 2015, p. 243-262
Yang, Xin; Cheng, Tim Kwang-Ting

Conference paper 19

[POSTER] Fusion of Vision and Inertial Sensing for Accurate and Efficient Pose Tracking on Smartphones
Proceedings of the 2015 IEEE International Symposium on Mixed and Augmented Reality, ISMAR 2015 / Institute of Electrical and Electronics Engineers (IEEE). Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 68-71, Article number 7328063
Yang, Xin; Si, Xun; Xue, Tangli; Cheng, Tim Kwang-Ting
20 Gb/s Carrier-Injection Silicon Microring Modulator with SPICE-Compatible Dynamic Model
International Conference on Photonics in Switching, PS 2015, November 2015, article number 7328943, p. 31-33
Wu, Rui; Chen, Chin-Hui; Huang, Tsung-Ching; Cheng, Tim Kwang-Ting; Beausoleil, Raymond G.
A Configurable CMOS Memory Platform for 3D-Integrated Memristors
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2015-July, July 2015, article number 7168899, p. 1378-1381
Payvand, Melika; Madhavan, Advait; Lastras-monta?o, Miguel Angel; Ghofrani, Amirali; Rofeh, Justin; Cheng, Tim Kwang-Ting; Strukov, Dmitri B.; Theogarajan, Luke Satish Kumar
Accurate Vessel Segmentation with Progressive Contrast Enhancement and Canny Refinement
Computer Vision - ACCV 2014: Revised Selected Papers, Part III / Editors: Daniel Cremers, Ian Reid, Hideo Saito, Ming-Hsuan Yang. Cham : Springer International Publishing, 2015, p. 1-16, Book series: Lecture Notes in Computer Science, v. 9005 LNCS
Yang, Xin; Cheng, Tim Kwang-Ting; Chien, Aichi
AdaTest: An Efficient Statistical Test Framework for Test Escape Screening
Proceedings - International Test Conference, v. 2015-November, November 2015, article number 7342391
Lin, Fan; Hsu, Chun-Kai; Cheng, Tim Kwang-Ting
Approximate Associative Memristive Memory for Energy-Efficient GPUs
Proceedings -Design, Automation and Test in Europe, DATE, v. 2015-April, April 2015, article number 7092626, p. 1497-1501
Rahimi, Abbas Farrokh; Ghofrani, Amirali; Cheng, Tim Kwang-Ting; Benini, Luca; Gupta, Rajesh Kumar C.
Architecting energy efficient crossbar-based memristive random-access memories
Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2015, August 2015, article number 7180575, p. 1-6
Lastras-monta?o, Miguel Angel; Ghofrani, Amirali; Cheng, Tim Kwang-Ting
Compact Modeling and System Implications of Microring Modulators in Nanophotonic Interconnects
2015 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP), v. 2015-July, July 2015, article number 7171708
Wu, Rui; Chen, Chin-Hui; Fédéli, Jean Marc; Fournier, Maryse; Beausoleil, Raymond G.; Cheng, Tim Kwang-Ting
DWDM Nanophotonic Interconnects: Toward Terabit/s Chip-Scale Serial Link
Midwest Symposium on Circuits and Systems, v. 2015-September, September 2015, article number 7282211
Huang, Tsung-Ching; Li, Cheng; Wu, Rui; Chen, Chin-Hui; Fiorentino, Marco; Cheng, Tim Kwang-Ting; Palermo, Samuel M.; Beausoleil, Raymond G.
Feature Engineering With Canonical Analysis for Effective Statistical Tests Screening Test Escapes
Proceedings - International Test Conference, v. 2015-February, Feburary 2015, article number 7035344
Lin, Fan; Hsu, Chun-Kai; Cheng, Tim Kwang-Ting
Hardware Trojan detection using exhaustive testing of k-bit subspaces
20th Asia and South Pacific Design Automation Conference (ASP-DAC 2015), March 2015, article number 7059101, p. 755-760
Lesperance, Nicole; Kulkarni, Shrikant; Cheng, Tim Kwang-Ting
Hardware Trojans Hidden in RTL Don’t Cares – Automated Insertion and Prevention Methodologies
Proceedings - International Test Conference, v. 2015-November, November 2015, article number 7342387
Fern, Nicole; Kulkarni, Shrikant; Cheng, Tim Kwang-Ting
HReRAM: A Hybrid Reconfigurable Resistive Random-Access Memory
Proceedings of Design, Automation and Test in Europe, DATE, v. 2015-April, April 2015, article number 7092593, p. 1299-1304, Category number CFP15162-ART; Code 115713
Lastras-monta?o, Miguel Angel; Ghofrani, Amirali; Cheng, Tim Kwang-Ting
Leveraging Nonvolatility for Architecture Design with Emerging NVM
2015 IEEE Non-Volatile Memory Systems and Applications Symposium, NVMSA 2015, October 2015, article number 7304356
Li, Shuangchen; Chi, Ping; Zhao, Jishen; Cheng, Tim Kwang-Ting; Xie, Yuan
Standard 12-lead ECG Synthesis Using a GA Optimized BP Neural Network
2015 7th International Conference on Advanced Computational Intelligence, ICACI 2015 / Institute of Electrical and Electronics Engineers (IEEE). Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 289-293
Chen, Fangjian; Pan, Yun; Li, Ke; Cheng, Tim Kwang-Ting; Huan, Ruohong
Toward large-scale access-transistor-free memristive crossbars
20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015, March 2015, article number 7059067, p. 563-568, Category number CFP15ASP-ART; Code 111574
Ghofrani, Amirali; Lastras-monta?o, Miguel Angel; Cheng, Tim Kwang-Ting
Variation-Aware Adaptive Tuning for Nanophotonic Interconnects
2015 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015, November 2015, article number 7372609, p. 487-493
Wu, Rui; Chen, Chin-Hui; Li, Cheng; Huang, Tsung-Ching; Lan, Fan; Zhang, Chong; Pan, Yun; Bowers, John E.; Beausoleil, Raymond G.; Cheng, Tim Kwang-Ting
Vertical Integration of Memristors onto Foundry CMOS Dies using Wafer-Scale Integration
Proceedings - Electronic Components and Technology Conference, v. 2015-July, July 2015, article number 7159710, p. 957-962
Rofeh, Justin; Sodhi, Avantika; Payvand, Melika; Lastras-monta?o, Miguel Angel; Ghofrani, Amirali; Madhavan, Advait; Yemenicioglu, Sukru; Cheng, Tim Kwang-Ting; Theogarajan, Luke Satish Kumar
Vision-inertial Hybrid Tracking for Robust and Efficient Augmented Reality on Smartphones
MM 2015 - Proceedings of the 2015 ACM Multimedia Conference / Association for Computing Machinery. New York, NY, USA : Association for Computing Machinery, 2015, p. 1039-1042
Yang, Xin; Si, Xun; Xue, Tangli; Zhang, Liheng; Cheng, Tim Kwang-Ting





Article 5

Compact Test Generation With an Influence Input Measure for Launch-On-Capture Transition Fault Testing
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (9), September 2014, article number 6609109, p. 1968-1979
Xiang, Dong; Sui, Wenjie; Yin, Boxue; Cheng, Tim Kwang-Ting
Learning Optimized Local Difference Binaries for Scalable Augmented Reality on Mobile Devices
IEEE Transactions on Visualization and Computer Graphics, v. 20, (6), June 2014, article number 6671918, p. 852-865
Yang, Xin; Cheng, Tim Kwang-Ting
Local Difference Binary for Ultrafast and Distinctive Feature Description
IEEE Transactions on Pattern Analysis and Machine Intelligence, v. 36, (1), January 2014, article number 6579616, p. 188-194
Yang, Xin; Cheng, Tim Kwang-Ting
Test-Quality Optimization for Variable n-Detections of Transition Faults
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (8), August 2014, article number 6587310, p. 1738-1749
Xu, Dawen; Li, Huawei; Ghofrani, Amirali; Cheng, Tim Kwang-Ting; Han, Yinhe; Li, Xiaowei
Thermal stress implications in athermal TiO2 waveguides on a silicon substrate
Optics Express, v. 22, (1), January 2014, p. 661-666
Bovington, Jock T.; Wu, Rui; Cheng, Tim Kwang-Ting; Bowers, John E.

Conference paper 6

Athermal Characteristics of TiO2-Clad Silicon Waveguides at 1.3μm
2014 IEEE Photonics Conference, IPC 2014, December 2014, article number 6995239, p. 116-117
Feng, Shaoqi; Shang, Kuanping; Bovington, Jock T.; Wu, Rui; Cheng, Tim Kwang-Ting; Bowers, John E.; Yoo, S. J. Ben
Energy-efficient GPGPU Architectures via Collaborative Compilation and Memristive Memory-based Computing
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2014, p. 1-6, Article number 2593132
Rahimi, Abbas Farrokh; Ghofrani, Amirali; Angel, Miguel; Cheng, Tim Kwang-Ting; Benini, Luca; Gupta, Rajesh Kumar C.
Geodesic Active Contours with Adaptive Configuration for Cerebral Vessel and Aneurysm Segmentation
Proceedings - International Conference on Pattern Recognition, December 2014, article number 6977265, p. 3209-3214
Yang, Xin; Cheng, Tim Kwang-Ting; Chien, Aichi
Joint Virtual Probe: Joint Exploration of Multiple Test Items' Spatial Patterns for Efficient Silicon Characterization and Test Prediction
Proceedings -Design, Automation and Test in Europe, DATE, March 2014, article number 6800441
Zhang, Shuangyue; Lin, Fan; Hsu, Chun-Kai; Cheng, Tim Kwang-Ting; Wang, Hong
Learning from Production Test Data: Correlation Exploration and Feature Engineering
Proceedings of the Asian Test Symposium, December 2014, article number 6979106, p. 236-241
Lin, Fan; Hsu, Chun-Kai; Cheng, Tim Kwang-Ting
Libldb: A library for extracting ultrafast and distinctive binary feature description
MM 2014 - Proceedings of the 2014 ACM Conference on Multimedia / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2014, p. 671-674
Yang, Xin; Huang, Chong; Cheng, Tim Kwang-Ting





Article 1

Low-Cost Error Tolerance Scheme for 3-D CMOS Imagers
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 21, (3), March 2013, article number 6186837, p. 465-474
Chang, Hsiu Ming Sherman; Huang, Jiun-Lang; Kwai, Dingming; Cheng, Tim Kwang-Ting; Wu, Chengwen

Conference paper 7

Architecting Low Power Crossbar-Based Memristive RAM
4th Non-Volatile Memory Workshop, University of California, San Diego, USA, 3-5 March 2013
Lastras-monta?o, Miguel Angel; Ghofrani, Amirali; Cheng, Tim Kwang-Ting
Digital-to-analog and Analog-to-digital Conversion with Metal Oxide Memristors for Ultra-low Power Computing
Proceedings of the 2013 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2013, 2013, article number 6623031, p. 19-22
Gao, Ligang; Merrikh-bayat, Farnood; Alibart, Fabien; Guo, Xinjie; Hoskins, Brian D.; Cheng, Tim Kwang-Ting; Strukov, Dmitri B.
Mutation Analysis with Coverage Discounting
Proceedings -Design, Automation and Test in Europe, DATE, 2013, article number 6513467, p. 31-34
Lisherness, Peter; Lesperance, Nicole; Cheng, Tim Kwang-Ting
Performance Optimization of Vision Apps on Mobile Application Processor
International Conference on Systems, Signals, and Image Processing, 2013, article number 6623485, p. 187-191
Cheng, Tim Kwang-Ting; Yang, Xin; Wang, Yichu
Role of Thermal Stress in Athermal Waveguide Design Using TiO2 Waveguides on a Silicon Substrate
2013 IEEE Photonics Conference, IPC 2013, 2013, article number 6656513, p. 219-220
Bovington, Jock T.; Wu, Rui; Cheng, Tim Kwang-Ting; Bowers, John E.
Test Data Analytics - Exploring Spatial and Test-item Correlations in Production Test Data
Proceedings - International Test Conference, 2013, article number 6651900
Hsu, Chun-Kai; Lin, Fan; Cheng, Tim Kwang-Ting; Zhang, Wangyang; Li, Xin; Carulli, John M.; Butler, Kenneth M.
Towards Data Reliable Crossbar-based Memristive Memories
Proceedings - International Test Conference, 2013, article number 6651928
Ghofrani, Amirali; Lastras-monta?o, Miguel Angel; Cheng, Tim Kwang-Ting





Article 1

Power-Efficient Calibration and Reconfiguration for Optical Network-on-Chip
Journal of Optical Communications and Networking, v. 4, (12), December 2012, article number 6392500, p. 955-966
Zheng, Yan; Lisherness, Peter; Gao, Ming; Bovington, Jock T.; Cheng, Tim Kwang-Ting; Wang, Hong; Yang, Shiyuan

Conference paper 12

3D CMOS-memristor hybrid circuits: devices, integration, architecture, and applications
Proceedings of the International Symposium on Physical Design / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2012, p. 33-40
Cheng, Tim Kwang-Ting; Strukov, Dmitri B.
Accelerating SURF detector on mobile devices
MM 2012 - Proceedings of the 20th ACM International Conference on Multimedia / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2012, p. 569-578
Yang, Xin; Cheng, Tim Kwang-Ting
Adaptive test selection for post-silicon timing validation: A data mining approach
Proceedings - International Test Conference, 2012, article number 6401540
Gao, Ming; Lisherness, Peter; Cheng, Tim Kwang-Ting
Comprehensive online defect diagnosis in on-chip networks
Proceedings of the IEEE VLSI Test Symposium, 2012, article number 6231078, p. 44-49
Ghofrani, Amirali; Parikh, Ritesh; Shamshiri, Saeed; Deorio, Andrew; Cheng, Tim Kwang-Ting; Bertacco, Valeria M.
Energy and performance characterization of mobile heterogeneous computing
IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation, 2012, article number 6363274, p. 312-317
Wang, Yichu; Cheng, Tim Kwang-Ting
Energy-Aware Real-Time Face Recognition System on Mobile CPU-GPU Platform
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 6554 LNCS, (PART 2), 2012, p. 411-422
Wang, Yichu; Donyanavard, Bryan; Cheng, Tim Kwang-Ting
Improving Validation Coverage Metrics to Account for Limited Observability
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2012, article number 6164962, p. 292-297
Lisherness, Peter; Cheng, Tim Kwang-Ting
LDB: An ultra-fast feature for scalable augmented reality on mobile devices
ISMAR 2012 - 11th IEEE International Symposium on Mixed and Augmented Reality 2012, Science and Technology Papers, 2012, article number 6402537, p. 49-57
Yang, Xin; Cheng, Tim Kwang-Ting
On Error Modeling of Electrical Bugs for Post-Silicon Timing Validation
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2012, article number 6165046, p. 701-706
Gao, Ming; Lisherness, Peter; Cheng, Tim Kwang-Ting; Liou, Jingjia
Platform characterization for domain-specific computing
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, January 2012, article number 6165071, p. 94-99
Bui, Alex A.T.; Cheng, Tim Kwang-Ting; Cong, Jason; Vese, Luminita A.; Wang, Yichu; Yuan, Bo; Zou, Yi
Post-fabrication reconfiguration for power-optimized tuning of optically connected multi-core systems
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2012, article number 6165030, p. 615-620
Zheng, Yan; Lisherness, Peter; Shamshiri, Saeed; Ghofrani, Amirali; Yang, Shiyuan; Cheng, Tim Kwang-Ting
Power-Efficient Calibration and Reconfiguration for On-Chip Optical Communication
Proceedings -Design, Automation and Test in Europe, DATE, 2012, article number 6176711, p. 1501-1506
Zheng, Yan; Lisherness, Peter; Gao, Ming; Bovington, Jock T.; Yang, Shiyuan; Cheng, Tim Kwang-Ting





Article 8

A Promising Alternative to Conventional Silicon
IEEE Design and Test of Computers, v. 28, (6), Nov 2011, Article number 6123677, p. 6
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting
Fast Visual Retrieval Using Accelerated Sequence Matching
IEEE Transactions on Multimedia, v. 13, (2), April 2011, article number 5643930, p. 320-329
Yeh, Meichen; Cheng, Tim Kwang-Ting
Modeling Yield, Cost, and Quality of a Spare-Enhanced Multicore Chip
IEEE Transactions on Computers, v. 60, (9), September 2011, article number 5710882, p. 1246-1259
Shamshiri, Saeed; Cheng, Tim Kwang-Ting
Organic Pseudo-CMOS Circuits for Low-Voltage Large-Gain High-Speed Operation
IEEE Electron Device Letters, v. 32, (10), October 2011, article number 5978175, p. 1448-1450
Fukuda, Kenjiro; Sekitani, Tsuyoshi; Yokota, Tomoyuki; Kuribara, Kazunori; Huang, Tsung-Ching; Sakurai, Takayasu; Zschieschang, Ute; Klauk, Hagen; Ikeda, Masaaki; Kuwabara, Hirokazu; Yamamoto, Tatsuya; Takimiya, Kazuo; Cheng, Tim Kwang-Ting; Someya, Takao
Pseudo-CMOS: A Design Style for Low-Cost and Robust Flexible Electronics
IEEE Transactions on Electron Devices, v. 58, (1), January 2011, article number 5640660, p. 141-150
Huang, Tsung-Ching; Fukuda, Kenjiro; Lo, Chun Ming; Yeh, Yung-Hui; Sekitani, Tsuyoshi; Someya, Takao; Cheng, Tim Kwang-Ting
Robust Circuit Design for Flexible Electronics
IEEE Design and Test of Computers, v. 28, (6), November-December 2011, article number 5928308, p. 8-15
Huang, Tsung-Ching; Huang, Jiun-Lang; Cheng, Tim Kwang-Ting
Tester-Assisted Calibration and Screening for Digitally-Calibrated ADCs
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 58, (12), December 2011, article number 5954140, p. 2838-2848
Chang, Hsiu Ming Sherman; Lin, Kuanyu; Cheng, Tim Kwang-Ting
Time-Multiplexed Online Checking
IEEE Transactions on Computers, v. 60, (9), September 2011, article number 5710883, p. 1300-1312
Gao, Ming; Chang, Hsiu Ming Sherman; Lisherness, Peter; Cheng, Tim Kwang-Ting

Conference paper 13

An all-digital built-in self-test technique for transfer function characterization of RF PLLs
Proceedings -Design, Automation and Test in Europe, DATE, 2011, article number 5763063, p. 359-364
Wang, Pingying; Chang, Hsiu Ming Sherman; Cheng, Tim Kwang-Ting
Coverage discounting: A generalized approach for testbench qualification
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, 2011, article number 6114165, p. 49-56
Lisherness, Peter; Cheng, Tim Kwang-Ting
End-to-End Error Correction and Online Diagnosis for On-Chip Networks
Proceedings - International Test Conference, 2011, article number 6139156
Shamshiri, Saeed; Ghofrani, Amirali; Cheng, Tim Kwang-Ting
Energy-optimized mapping of application to smartphone platform—a case study of mobile face recognition
IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops, 2011, article number 5981820, p. 84-89
Wang, Yichu; Cheng, Tim Kwang-Ting
GPU-accelerated fault simulation and its new applications
Proceedings of 2011 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2011, 2011, article number 5783576, p. 58-61
Li, Huawei; Xu, Dawen; Cheng, Tim Kwang-Ting
Image Quality Aware Metrics for Performance Specification of ADC Array in 3D CMOS Imagers
DAC '11: Proceedings of the 48th Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2011, p. 759-764, Article number 5981996
Chang, Hsiu Ming Sherman; Cheng, Tim Kwang-Ting
Image-Quality-Driven Metrics for Testing and Calibrating ADC Array in CMOS Imagers: A First Step
Proceedings - 2011 IEEE 17th International Mixed-Signals, Sensors and Systems Test Workshop, IMS3TW 2011, 2011, article number 6132732, p. 29-32
Chang, Hsiu Ming Sherman; Cheng, Tim Kwang-Ting; Huang, Jiun-Lang
Large-scale EMM identification based on geometry-constrained visual word correspondence voting
Proceedings of the 1st ACM International Conference on Multimedia Retrieval, ICMR'11 / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2011, p. 1-9, Article No.: 35
Yang, Xin; Liu, Qiong; Liao, Chunyuan; Cheng, Tim Kwang-Ting; Girgensohn, Andreas
Minimum Correspondence Sets for improving large-scale Augmented Paper
Proceedings of VRCAI 2011: ACM SIGGRAPH Conference on Virtual-Reality Continuum and its Applications to Industry / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2011, p. 59-68
Yang, Xin; Liao, Chunyuan; Liu, Qiong; Cheng, Tim Kwang-Ting
Organic Pseudo-CMOS for 2V Operational High-Speed Circuits

Fukuda, Kenjiro; Huang, Tsung-Ching; Kuribara, Kazunori; Yokota, Tomoyuki; Sekitani, Tsuyoshi; Zschieschang, Ute; Klauk, Hagen; Ikeda, Masaaki; Kuwabara, Hirokazu; Yamamoto, Tatsuo; Takimiya, Kazuo; Cheng, Tim Kwang-Ting; Someya, Takao
Post-silicon Bug Detection for Variation Induced Electrical Bugs
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2011, article number 5722197, p. 273-278
Gao, Ming; Lisherness, Peter; Cheng, Tim Kwang-Ting
Test cost reduction through performance prediction using virtual probe
Proceedings - International Test Conference, 2011, article number 6139129
Chang, Hsiu Ming Sherman; Cheng, Tim Kwang-Ting; Zhang, Wangyang; Li, Xin; Butler, Kenneth M.
Using mobile GPU for general-purpose computing–a case study of face recognition on smartphones
Proceedings of 2011 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2011, 2011, article number 5783575, p. 54-57
Cheng, Tim Kwang-Ting; Wang, Yichu





Article 2

Calibration and Test Time Reduction Techniques for Digitally-Calibrated Designs: an ADC Case Study
Journal of Electronic Testing: Theory and Applications, v. 26, (1), February 2010, p. 59-71
Chang, Hsiu Ming Sherman; Lin, Kuanyu; Cheng, Tim Kwang-Ting
Recent Advances in Analog, Mixed-Signal, and RF Testing
IPSJ Transactions on System LSI Design Methodology, v. 3, 2010, p. 19-46
Cheng, Tim Kwang-Ting; Chang, Hsiu Ming Sherman

Book 1

Efficient Test Methodologies for High-Speed Serial Links
Efficient Test Methodologies for High-Speed Serial Links / By Dongwoo Hong, Kwang-Ting Cheng. Netherlands: Springer, 2010. Lecture Notes in Electrical Engineering, v. 51.
Hong, Dongwoo; Cheng, Tim Kwang-Ting

Book chapter 7

A Two-Tone Test Method for Continuous-Time Adaptive Equalizers
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 75-87, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting
An Accurate Jitter Estimation Technique
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 65-73, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting
An Efficient Jitter Measurement Technique
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 7-18, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting
BER Estimation for Linear Clock and Data Recovery Circuit
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 19-40, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting
BER Estimation for Non-Linear Clock and Data Recovery Circuit
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 41-51, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting
Gaps in Timing Margining Test
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 53-64, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting
Introduction
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 1-5, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting

Conference paper 16

A case study of time-multiplexed assertion checking for post-silicon debugging
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, 2010, article number 5496657, p. 90-96
Gao, Ming; Cheng, Tim Kwang-Ting
A GPU-accelerated face annotation system for smartphones
MM'10 - Proceedings of the ACM Multimedia 2010 International Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2010, p. 1667-1668
Wang, Yichu; Pang, Sydney; Cheng, Tim Kwang-Ting
A Portable Multi-pitch e-Drum Based on Printed Flexible Pressure Sensors
Proceedings -Design, Automation and Test in Europe, DATE, 2010, article number 5456970, p. 1082-1087
Lo, Chun Ming; Huang, Tsung-Ching; Chiang, Chengyi; Hou, Johnson; Cheng, Tim Kwang-Ting
An Automatic Test Generation Framework for Digitally-assisted Adaptive Equalizers in High-speed Serial Links
Proceedings -Design, Automation and Test in Europe, DATE, 2010, article number 5457098, p. 1755-1760
Abbas, Mohamed Amir; Cheng, Tim Kwang-Ting; Furukawa, Yasuo; Komatsu, Satoshi; Asada, Kunihiro
An Error Tolerance Scheme for 3D CMOS Imagers
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2010, p. 917-922
Chang, Hsiu Ming Sherman; Huang, Jiun-Lang; Kwai, Dingming; Cheng, Tim Kwang-Ting; Wu, Chengwen
Calibration-assisted production testing for digitally-calibrated ADCs
Proceedings of the IEEE VLSI Test Symposium, 2010, article number 5469549, p. 295-300
Chang, Hsiu Ming Sherman; Lin, Kuanyu; Cheng, Tim Kwang-Ting
Design, analysis, and test of low-power and reliable flexible electronics
Proceedings of the IEEE VLSI Test Symposium, v. 2010-January, 2010, article number 5469610
Cheng, Tim Kwang-Ting; Huang, Tsung-Ching
Error-locality-aware linear coding to correct multi-bit upsets in SRAMs
Proceedings - International Test Conference, 2010, article number 5699220
Shamshiri, Saeed; Cheng, Tim Kwang-Ting
Innovative practices session 2C: Design, fabrication and test of flexible electronics
Proceedings of the IEEE VLSI Test Symposium, v. 2010-January, 2010, article number 5469613, p. 91-91
Cheng, Tim Kwang-Ting
Low-cost quality assurance techniques for high-performance mixed-signal/RF circuits and systems
Proceedings - International Test Conference, 2010, article number 5699287
Chang, Hsiu Ming Sherman; Cheng, Tim Kwang-Ting
Mobile image search with multimodal context-aware queries
2010 IEEE Computer Society Conference on Computer Vision and Pattern Recognition - Workshops, CVPRW 2010, 2010, article number 5543246, p. 25-32
Yang, Xin; Pang, Sydney; Cheng, Tim Kwang-Ting
Modeling yield, cost, and quality of an NoC with uniformly and non-uniformly distributed redundancy
Proceedings of the IEEE VLSI Test Symposium, 2010, article number 5469579, p. 194-199
Shamshiri, Saeed; Cheng, Tim Kwang-Ting
Mutation-based diagnostic test generation for hardware design error diagnosis
Proceedings - International Test Conference, 2010, article number 5699307
Deng, Shujun; Cheng, Tim Kwang-Ting; Bian, Jinian; Kong, Zhiqiu
nGFSIM : A GPU-based fault simulator for 1-to-n detection and its applications
Proceedings - International Test Conference, 2010, article number 5699235
Li, Huawei; Xu, Dawen; Han, Yinhe; Cheng, Tim Kwang-Ting; Li, Xiaowei
Pseudo-CMOS: A novel design style for flexible electronics
Proceedings -Design, Automation and Test in Europe, DATE, 2010, article number 5457220, p. 154-159
Huang, Tsung-Ching; Fukuda, Kenjiro; Lo, Chun Ming; Yeh, Yung-Hui; Sekitani, Tsuyoshi; Someya, Takao; Cheng, Tim Kwang-Ting
SCEMIT: A SystemC error and mutation injection tool
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2010, p. 228-233
Lisherness, Peter; Cheng, Tim Kwang-Ting





Article 2

SEChecker: A Sequential Equivalence Checking Framework Based on Kth Invariants
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 17, (6), June 2009, article number 4815387, p. 733-746
Lu, Feng; Cheng, Tim Kwang-Ting
What is flexible electronics?
ACM SIGDA Newsletter, v. 39, (4), April 2009
Cheng, Tim Kwang-Ting; Huang, Tsung-Ching

Book 1

Electronic design automation: synthesis, verification, and test
Electronic design automation / Wang, Laungterng, Chang, Yao-Wen, Cheng, Tim, Morgan Kaufmann, 2009
Wang, Laung-Terng; Chang, Yao-Wen; Cheng, Tim Kwang-Ting

Conference paper 14

A built-in self-calibration scheme for pipelined ADCs
Proceedings of the 10th International Symposium on Quality Electronic Design, ISQED 2009, 2009, Article number 4810305, p. 266-271
Chang, Hsiu Ming Sherman; Lin, Kuanyu; Chen, Chinhsuan; Cheng, Tim Kwang-Ting
An experimental study on content-based face annotation of photos
IEEE 3rd International Conference on Biometrics: Theory, Applications and Systems, BTAS 2009, 2009, article number 5339084
Yeh, Meichen; Zhang, Sheng; Cheng, Tim Kwang-Ting
An Instrumented Observability Coverage Method for System Validation
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, November 2009, article number 5340171, p. 88-93
Lisherness, Peter; Cheng, Tim Kwang-Ting
Calibration and testing time reduction techniques for a digitally-calibrated pipelined ADC
Proceedings of the IEEE VLSI Test Symposium, 2009, article number 5116649, p. 291-296
Chang, Hsiu Ming Sherman; Chen, Chinhsuan; Lin, Kuanyu; Cheng, Tim Kwang-Ting
Calibration as a functional test: An ADC case study
Proceedings of the Asian Test Symposium, 2009, article number 5359403, p. 85-86
Chang, Hsiu Ming Sherman; Lin, Kuanyu; Cheng, Tim Kwang-Ting
Design for low power and reliable flexible electronics: Self-tunable cell-library design
Journal of Display Technology, v. 5, (6), June 2009, article number 4982840, p. 206-2015
Huang, Tsung-Ching; Cheng, Tim Kwang-Ting
Dynamic Test Compaction for Transition Faults in Broadside Scan Testing Based on an Influence Cone Measure
Proceedings of the IEEE VLSI Test Symposium, 2009, article number 5116643, p. 251-256
Xiang, Dong; Yin, Boxue; Cheng, Tim Kwang-Ting
Low Overhead Time-Multiplexed Online Checking: A Case Study of An H.264 Decoder
Proceedings of the Asian Test Symposium, 2009, article number 5359387, p. 119-124
Gao, Ming; Cheng, Tim Kwang-Ting
MyFinder: near-duplicate detection for large image collections
MM'09 - Proceedings of the 2009 ACM Multimedia Conference, with Co-located Workshops and Symposiums / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2009, p. 1013-1014
Yang, Xin; Zhu, Qiang; Cheng, Tim Kwang-Ting
Near-duplicate detection for images and videos
1st ACM Workshop on Large-Scale Multimedia Retrieval and Mining, LS-MMRM 2009, Co-located with the 2009 ACM International Conference on Multimedia, MM'09 / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2009, p. 73-80
Yang, Xin; Zhu, Qiang; Cheng, Tim Kwang-Ting
TAC: Testing time reduction for digitally-calibrated designs
2009 IEEE 15th International Mixed-Signals, Sensors, and Systems Test Workshop, IMS3TW '09, 2009, article number 5158690
Chang, Hsiu Ming Sherman; Cheng, Tim Kwang-Ting
Test strategies for adaptive equalizers
Proceedings of the Custom Integrated Circuits Conference, 2009, article number 5280777, p. 597-604
Cheng, Tim Kwang-Ting; Chang, Hsiu Ming Sherman
Video copy detection by fast sequence matching
CIVR 2009 - Proceedings of the ACM International Conference on Image and Video Retrieval / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2009, p. 1-7, Article No.: 45
Yeh, Meichen; Cheng, Tim Kwang-Ting
Yield and cost analysis of a reliable NoC
Proceedings of the IEEE VLSI Test Symposium, 2009, article number 5116629, p. 173-178
Shamshiri, Saeed; Cheng, Tim Kwang-Ting





Article 7

A 1.25-KS/s 3-bit Flash ADC in A-Si:H TFTs for Flexible Sensors
Symposium digest of technical papers: Flexible Electronics and Displays, 2008, p. 60-61
Cheng, Tim Kwang-Ting; Yeh, Yung-Hui; Huang, Tsung-Ching
A Clock-Less Jitter Spectral Analysis Technique
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 55, (8), September 2008, article number 4447691, p. 2263-2272
Ong, Chee-Kian; Hong, Dongwoo; Cheng, Kwang Ting; Wang, Lichung
Digitally-Assisted Production Testing of a Digitally Calibrated RF Receiver
Poster, GSRC Annual Symposium, v.29,2008
Cheng, Tim Kwang-Ting; Chang, Hsiu Ming Sherman
G.P.11.07 Impairment of the endosomal/lysosomal system may contribute to muscle wasting in Pompe disease by altering the trafficking and processing of membrane stabilizing proteins
Neuromuscular Disorders, v. 18, (9-10), October 2008, p. 801-802
Wustman, B.A.; Voit, T.; Cuervo, A.M.; Massey, A.C.; Bandyopadhyay, Subhajyoti; Powe jr, A.C.; Cheng, Tim Kwang-Ting; Do, H.V.; Lockhart, D.J.
Logic Testing
Wiley Encyclopedia of Computer Science and Engineering, 2008
Cheng, Tim Kwang-Ting; Stroud, Charles E.; Wang, Laung-Terng
Reliability Analysis for Flexible Electronics: Case Study of Integrated a-Si:H TFT Scan Driver
ACM Journal on Emerging Technologies in Computing Systems, v. 4, (3), August 2008, article number 12
Kung, Chen Pang; Tseng, HuaiYuan; Cheng, Tim Kwang-Ting; Huang, Tsung-Ching
Time-Multiplexed Online Checking: Resilient Design for Cost-Sensitive SoCs
Poster, GSRC Annual Symposium, (29), 2008
Gao, Ming; Cheng, Tim Kwang-Ting

Conference paper 8

A cost analysis framework for multi-core systems with spares
Proceedings - International Test Conference, 2008, article number 4700562
Shamshiri, Saeed; Lisherness, Peter; Pan, Sung Jui; Cheng, Tim Kwang-Ting
A real-time, embedded face-annotation system
MM'08 - Proceedings of the 2008 ACM International Conference on Multimedia, with co-located Symposium and Workshops / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2008, p. 989-990
Chu, Shih-Wei; Yeh, Meichen; Cheng, Tim Kwang-Ting
A string matching approach for visual retrieval and classification
Proceedings of the 1st International ACM Conference on Multimedia Information Retrieval, MIR2008, Co-located with the 2008 ACM International Conference on Multimedia, MM'08 / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2008, p. 52-58
Yeh, Meichen; Cheng, Tim Kwang-Ting
Accurate Bit-Error-Rate estimation for efficient high speed I/O testing
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS, 2008, article number 4746334, p. 1572-1575
Hong, Dongwoo; Cheng, Tim Kwang-Ting
Bit-error rate estimation for bang-bang clock and data recovery circuit in high-speed serial links
Proceedings of the IEEE VLSI Test Symposium, 2008, article number 4511690, p. 17-22
Hong, Dongwoo; Cheng, Tim Kwang-Ting
Digitally-assisted analog/RF testing for mixed-signal SoCs
Proceedings of the Asian Test Symposium, 2008, article number 4711557, p. 43-48
Chang, Hsiu Ming Sherman; Lin, Min-Sheng; Cheng, Tim Kwang-Ting
RTL error diagnosis using a word-level SAT-solver
Proceedings - International Test Conference, 2008, article number 4700568
Mirzaeian, Saeed; Zheng, Feijun; Cheng, Tim Kwang-Ting
Time-Multiplexed Online Checking: A Feasibility Study
Proceedings of the Asian Test Symposium, 2008, article number 4711619, p. 371-376
Gao, Ming; Chang, Hsiu Ming Sherman; Lisherness, Peter; Cheng, Tim Kwang-Ting





Article 3

Multiple-fault diagnosis based on adaptive diagnostic test pattern generation
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 26, (5), May 2007, article number 8361586, p. 932-942
Lin, Yungchieh; Lu, Feng; Cheng, Tim Kwang-Ting
Silicon Debug for Timing Errors
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 26, (11), November 2007, article number 4352014, p. 2084-2088
Yang, Kai; Cheng, Tim Kwang-Ting
Summary of Current Activities
Talk or presentation, Joint Concurrent/Resilient Workshop, v. 9, June, 2007
Cheng, Tim Kwang-Ting

Conference paper 8

A framework for system reliability analysis considering both system error tolerance and component test quality
Proceedings -Design, Automation and Test in Europe, DATE, 2007, article number 4212037, p. 1581-1586
Pan, Sung Jui; Cheng, Tim Kwang-Ting
A hybrid scheme for compacting test responses with unknown values
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2007, article number 4397316, p. 513-519
Chao, Mango Chia Tso; Cheng, Tim Kwang-Ting; Wang, Seongmoon; Chakradhar, Srimat T.; Wei, Wenlong
A two-tone test method for continuous-time adaptive equalizers
Proceedings -Design, Automation and Test in Europe, DATE, 2007, article number 4211984, p. 1283-1288
Hong, Dongwoo; Saberi, Shadi; Cheng, Tim Kwang-Ting; Yue, Patrick Chik
An accurate jitter estimation technique for efficient high speed I/O testing
Proceedings of the Asian Test Symposium, 2007, article number 4388017, p. 224-229
Hong, Dongwoo; Cheng, Tim Kwang-Ting
An Efficient Diagnostic Test Pattern Generation Framework Using Boolean Satisfiability
Proceedings of the Asian Test Symposium, 2007, article number 4388027, p. 288-294
Zheng, Feijun; Cheng, Tim Kwang-Ting; Yan, Xiaolang; Moondanos, John; Hanna, Ziyad
Diagnosing scan chains using SAT-based diagnostic pattern generation
Proceedings - 20th Anniversary IEEE International SOC Conference, 2007, article number 4545473, p. 273-276
Li, Jinfu; Zheng, Feijun; Cheng, Tim Kwang-Ting
Reliability analysis for flexible electronics: Case study of integrated a-Si:H TFT scan driver
Proceedings - Design Automation Conference, 2007, article number 4261324, p. 966-969
Huang, Tsung-Ching; Tseng, HuaiYuan; Kung, Chen Pang; Cheng, Tim Kwnag-Ting
Testable Design for Advanced Serial-Link Transceivers
Proceedings -Design, Automation and Test in Europe, DATE, 2007, article number 4211881, p. 695-700
Lin, Mitchell; Cheng, Tim Kwang-Ting





Article 9

Annual index
IEEE Transactions on Computers, v. 55, (12), December 2006, article number 1717396, p. tc06-tc06
Cheng, Tim Kwang-Ting
Bit-Error-Rate Estimation for High-Speed Serial Links
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 53, (12), December 2006, article number 4026676, p. 2616-2627
Hong, Dongwoo; Ong, Chee-Kian; Cheng, Tim Kwang-Ting
Digitally-Assisted Analog Test, Characterization and Tuning for Mixed-Signal Systems
Talk or presentation, GSRC Resilient Theme Workshop, v. 7,Dec, 2006
Cheng, Tim Kwang-Ting
Failure Modeling Cluster
Talk or presentation, GSRC Annual Symposium, v. 28, 2006
Cheng, Tim Kwang-Ting
New beginnings, continued success
IEEE Design and Test of Computers, v. 23, (1), January-February 2006, article number 1583532, p. 5-6
Cheng, Tim Kwang-Ting
Pseudofunctional testing
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 25, (8), August 2006, article number 1637742, p. 1535-1546
Lin, Yungchieh; Lu, Feng; Cheng, Tim Kwang-Ting
System-Aware Test and Automatic Diagnosis for Sub-Systems
Talk or presentation, GSRC Quarterly Workshop, San Francisco, v. 23, July, 2006
Cheng, Tim Kwang-Ting
The New World of ESL Design
IEEE Design & Test of Computers, v. 23, (5), May 2006, article number 1704722, p. 333-333
Cheng, Tim Kwang-Ting
Vision from the Top
IEEE Design and Test of Computers, v. 23, (4), April 2006, article number 1683709, p. 261-261
Cheng, Tim Kwang-Ting

Book chapter 1

Automatic Test Pattern Generation
EDA for IC System Design, Verification, and Testing / Louis Scheffer, Luciano Lavagno, Grant Martin. United States: CRC Press, 2006, p. 22-1 - 22-5
Cheng, Tim Kwang-Ting; Wang, Lichung

Conference paper 14

A Unified Approach to Test Generation and Test Data Volume Reduction
Proceedings - International Test Conference, 2006, article number 4079322
Lin, Yungchieh; Cheng, Tim Kwang-Ting
Bit Error Rate Estimation for Improving Jitter Testing of High-Speed Serial Links
Proceedings - International Test Conference, v. 1, October 2006, article number 4079401
Hong, Dongwoo; Cheng, Tim Kwang-Ting
Coverage Loss By Using Space Compactors in Presence of Unknown Values
Proceedings -Design, Automation and Test in Europe, DATE, v. 1, 2006, article number 1657047
Chao, Mango Chia Tso; Wang, Seongmoon; Chakradhar, Srimat T.; Wei, Wenlong; Cheng, Tim Kwang-Ting
Efficient identification of multi-cycle false path
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2006, 2006, article number 1594709, p. 360-365
Yang, Kai; Cheng, Tim Kwang-Ting
Fast Human Detection Using a Cascade of Histograms of Oriented Gradients
Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition, v. 2, 2006, article number 1640933, p. 1491-1498
Zhu, Qiang; Avidan, Shai; Yeh, Meichen; Cheng, Tim Kwang-Ting
Generation of shorter sequences for high resolution error diagnosis using sequential SAT
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2006, 2006, article number 1594640, p. 25-29
Pan, Sung Jui; Cheng, Tim Kwang-Ting; Moondanos, John; Hanna, Ziyad
IChecker: An Efficient Checker for Inductive Invariants
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, 2006, article number 4110086, p. 176-180
Lu, Feng; Cheng, Tim Kwang-Ting
Multimodal fusion using learned text concepts for image categorization
Proceedings of the 14th Annual ACM International Conference on Multimedia, MM 2006 / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2006, p. 211-220
Zhu, Qiang; Yeh, Meichen; Cheng, Tim Kwang-Ting
Multiple-Fault Diagnosis Based on Single-Fault Activation and Single-Output Observation
Proceedings -Design, Automation and Test in Europe, DATE, v. 1, 2006, article number 1656918
Lin, Yungchieh; Cheng, Tim Kwang-Ting
On usage models of content-based image search, filtering, and annotation
Proceedings of SPIE - The International Society for Optical Engineering, v. 6061, 2006, article number 606102
Telleen-lawton, David; Chang, Edward Yi; Cheng, Tim Kwang-Ting; Chang, Chengwei
Simulation-Based Functional Test Generation for Embedded Processors
IEEE Transactions on Computers, v. 55, (11), November 2006, article number 1705443, p. 1335-1343
Wen, Charles Hungpin; Wang, Lichung; Cheng, Tim Kwang-Ting
Testable Design for Adaptive Linear Equalizer in High-Speed Serial Links
Proceedings - International Test Conference, October 2006, article number 4079376
Lin, Mitchell; Cheng, Tim Kwang-Ting
Timing-reasoning-based delay fault diagnosis
Proceedings -Design, Automation and Test in Europe, DATE, v. 1, 2006, article number 1656917
Yang, Kai; Cheng, Tim Kwang-Ting
Unknown-tolerance analysis and test-quality control for test response compaction using space compactors
DAC '06: Proceedings of the 43rd annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2006, p. 1083-1088
Chao, Mango Chia Tso; Cheng, Tim Kwang-Ting; Wang, Seongmoon; Chakradhar, Srimat T.; Wei, Wenlong





Article 1

Using 2-domain partitioned OBDD data structure in an enhanced symbolic simulator
ACM Transactions on Design Automation of Electronic Systems, v. 10, (4), October 2005, p. 627-650
Feng, Tao; Wang, Lichung; Cheng, Tim Kwang-Ting; Lin, Chih-Chang

Book chapter 1

Embedded Software-Based Self-Testing for SoC Design
Embedded Systems Handbook / Richard Zurawski. United States: CRC Press, 2005, p. 28-1 - 28-19
Cheng, Tim Kwang-Ting

Conference paper 17

Accurate diagnosis of multiple faults
Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors, v. 2005, 2005, article number 1524146, p. 153-156
Lin, Yungchieh; Lu, Feng; Cheng, Tim Kwang-Ting
An efficient sequential SAT solver with improved search strategies
Proceedings -Design, Automation and Test in Europe, DATE '05, v. II, 2005, article number 1395740, p. 1102-1107
Lu, Feng; Iyer, Madhu K.; Parthasarathy, Ganapathy; Wang, Lichung; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien
ChiYun compact: a novel test compaction technique for responses with unknown values
Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors, v. 2005, 2005, article number 1524145, p. 147-152
Chao, Mango Chia Tso; Wang, Seongmoon; Chakradhar, Srimat T.; Cheng, Tim Kwang-Ting
Constraint extraction for pseudo-functional scan-based delay testing
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 1, 2005, article number 1466151, p. 166-171
Lin, Yungchieh; Lu, Feng; Yang, Kai; Cheng, Tim Kwang-Ting
Efficient conflict-based learning in an RTL circuit constraint solver
Proceedings -Design, Automation and Test in Europe, DATE '05, v. II, 2005, article number 1395651, p. 666-671
Iyer, Madhu K.; Parthasarathy, Ganapathy; Cheng, Tim Kwang-Ting
Learning a sparse, corner-based representation for time-varying background modelling
Proceedings of the IEEE International Conference on Computer Vision, v. I, 2005, article number 1541319, p. 678-685
Zhu, Qiang; Avidan, Shai; Cheng, Tim Kwang-Ting
On a software-based self-test methodology and its application
Proceedings of the IEEE VLSI Test Symposium, 2005, article number 1443407, p. 107-113
Wen, Charles Hungpin; Wang, Lichung; Cheng, Tim Kwang-Ting; Yang, Kai; Liu, Weiting; Chen, Jijan
On statistical correlation based path selection for timing validation
2005 IEEE VLSI-TSA International Symposium on VLSI Design, Automation and Test (VLSI-TSA-DAT), v. 2005, 2005, article number 1500006, p. 8-11
Yang, Kai; Wang, Lichung; Cheng, Tim Kwang-Ting; Kundu, Sandip
Production-oriented interface testing for PCI-Express by enhanced loop-back technique
Proceedings - International Test Conference, v. 2005, 2005, article number 1584028, p. 661-670
Lin, Mitchell; Cheng, Tim Kwang-Ting; Hsu, Jimmy; Sun, M.C.; Chen, Jason; Lu, Shelton
Pseudo-functional scan-based BIST for delay fault
Proceedings of the IEEE VLSI Test Symposium, 2005, article number 1443428, p. 229-234
Lin, Yungchieh; Lu, Feng; Cheng, Tim Kwang-Ting
Response shaper: a novel technique to enhance unknown tolerance for output response compaction
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, v. 2005, 2005, article number 1560044, p. 80-87
Chao, Mango Chia Tso; Wang, Seongmoon; Chakradhar, Srimat T.; Cheng, Tim Kwang-Ting
RTL SAT simplification by boolean and interval arithmetic reasoning
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, v. 2005, 2005, article number 1560082, p. 297-302
Parthasarathy, Ganapathy; Iyer, Madhu K.; Cheng, Tim Kwang-Ting; Brewer, Forrest D.
Sequential equivalence checking based on K-th invariants and circuit SAT solving
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, v. 2005, 2005, article number 1568812, p. 45-51
Lu, Feng; Cheng, Tim Kwang-Ting
Simulation-based functional test generation for embedded processors
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, v. 2005, 2005, article number 1568806, p. 3-10
Wen, Charles Hungpin; Wang, Lichung; Cheng, Tim Kwang-Ting
Simulation-based target test generation techniques for improving the robustness of a software-based-self-test methodology
Proceedings - International Test Conference, v. 2005, 2005, article number 1584059, p. 936-945
Wen, Charles Hungpin; Wang, Lichung; Cheng, Tim Kwang-Ting; Liu, Weiting; Chen, Jijan
Structural search for RTL with predicate learning
Proceedings of the 42nd annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2005, p. 451-456
Parthasarathy, Ganapathy; Iyer, Madhu K.; Cheng, Tim Kwang-Ting; Brewer, Forrest D.
Using visual features for anti-spam filtering
Proceedings - International Conference on Image Processing, ICIP, v. 3, 2005, article number 1530440, p. 509-512
Wu, Chingtung; Cheng, Tim Kwang-Ting; Zhu, Qiang; Wu, Yileh





Article 6

A new sigma-delta modulator architecture for testing using digital stimulus
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 51, (1), January 2004, article number 1259506, p. 206-213
Ong, Chee-Kian; Cheng, Tim Kwang-Ting; Wang, Lichung
A signal correlation guided circuit-SAT solver
Journal of Universal Computer Science, v. 10, (12), 2004, p. 1629-1654
Lu, Feng; Wang, Lichung; Cheng, Tim Kwang-Ting; Moondanos, John; Hanna, Ziyad
Critical path selection for delay fault testing based upon a statistical timing model
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 23, (11), November 2004, article number 1350881, p. 1550-1565
Wang, Lichung; Liou, Jingjia; Cheng, Tim Kwang-Ting
New challenges in delay testing of nanometer, multigigahertz designs
IEEE Design and Test of Computers, v. 21, (3), May-June 2004, article number 1302090, p. 241-248
Mak, T.M.; Krstic, Angela; Cheng, Tim Kwang-Ting; Wang, Lichung
Safety property verification using sequential SAT and bounded model checking
IEEE Design and Test of Computers, v. 21, (2), March-April 2004, article number 1277906, p. 132-143
Parthasarathy, Ganapathy; Iyer, Madhu K.; Cheng, Tim Kwang-Ting; Wang, Lichung
Self-referential verification for gate-level implementations of arithmetic circuits
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 23, (7), July 2004, article number 1308403, p. 1102-1112
Chang, Ying Tsai; Cheng, Tim Kwang-Ting

Conference paper 19

A path-based methodology for post-silicon timing validation
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2004, article number 1382669, p. 713-720
Lee, Leonard; Wang, Lichung; Mak, T.M.; Cheng, Tim Kwang-Ting
A scalable on-chip jitter extraction technique
Proceedings of the IEEE VLSI Test Symposium, 2004, article number 1299253, p. 267-272
Ong, Chee-Kian; Hong, Dongwoo; Cheng, Tim Kwang-Ting; Wang, Lichung
A unified adaptive approach to accurate skin detection
Proceedings - International Conference on Image Processing, ICIP, v. 5, 2004, article number 1419517, p. 1189-1192
Zhu, Qiang; Cheng, Tim Kwang-Ting; Wu, Chingtung
A Σ-Δ modulation based analog BIST system with a wide bandwidth fifth-order analog response extractor for diagnosis purpose
Proceedings of the Asian Test Symposium, 2004, article number 1376537, p. 62-67
Hong, Haochiao; Wu, Chengwen; Cheng, Tim Kwang-Ting
Adaptive learning of an accurate skin-color model
Proceedings - Sixth IEEE International Conference on Automatic Face and Gesture Recognition, 2004, article number 1301506, p. 37-42
Zhu, Qiang; Cheng, Tim Kwang-Ting; Wu, Chingtung; Wu, Yileh
An adaptive skin model and its application to objectionable image filtering
ACM Multimedia 2004 - proceedings of the 12th ACM International Conference on Multimedia / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2004, p. 56-63
Zhu, Qiang; Wu, Chingtung; Cheng, Tim Kwang-Ting; Wu, Yileh
An efficient finite-domain constraint solver for circuits
Proceedings of the 41st annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2004, p. 212-217
Parthasarathy, Ganapathy; Iyer, Madhu K.; Cheng, Tim Kwang-Ting; Wang, Lichung
BER estimation for serial links based on jitter spectrum and clock recovery characteristics
Proceedings - International Test Conference, 2004, article number 1387388, p. 1138-1147
Hong, Dongwoo; Ong, Chee-Kian; Cheng, Tim Kwang-Ting
Efficient reachability checking using sequential SAT
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, article number 1337611, p. 418-423
Parthasarathy, Ganapathy; Iyer, Madhu K.; Cheng, Tim Kwang-Ting; Wang, Lichung
Improved symbolic simulation by functional-space decomposition
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, article number 1337669, p. 634-639
Feng, Tao; Wang, Lichung; Cheng, Tim Kwang-Ting
Improved symoblic simulation by dynamic funtional space partitioning
Proceedings - Design, Automation and Test in Europe Conference and Exhibition, v. 1, 2004, article number 1268825, p. 42-47
Feng, Tao; Wang, Lichung; Cheng, Tim Kwang-Ting; Lin, Chih-Chang
Jitter spectral extraction for multi-gigahertz signal
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, p. 298-303
Ong, Chee-Kian; Hong, Dongwoo; Cheng, Tim Kwang-Ting; Wang, Lichung
On path-based learning and its applications in delay test and diagnosis
Proceedings of the 41st annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2004, p. 492-497
Wang, Lichung; Mak, T.M.; Cheng, Tim Kwang-Ting; Abadir, Magdy S.
On using a 2-domain partitioned OBDD data structure in verification
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, 2004, article number 1431234, p. 49-54
Feng, Tao; Wang, Lichung; Cheng, Tim Kwang-Ting; Lin, Chih-Chang
Pattern selection for testing of deep sub-micron timing defects
Proceedings - Design, Automation and Test in Europe Conference and Exhibition, v. 2, 2004, article number 1269033, p. 1060-1065
Chao, Mango Chia Tso; Wang, Lichung; Cheng, Tim Kwang-Ting
Random jitter extraction technique in a multi-gigahertz signal
Proceedings - Design, Automation and Test in Europe Conference and Exhibition, v. 1, 2004, article number 1268862, p. 286-291
Ong, Chee-Kian; Hong, Dongwoo; Cheng, Tim Kwang-Ting; Wang, Lichung
SSD tracking using dynamic template and Log-polar transformation
2004 IEEE International Conference on Multimedia and Expo (ICME), v. 1, 2004, article number 1394294, p. 723-726
Zhu, Qiang; Cheng, Tim Kwang-Ting; Zhang, Hongjiang
Static statistical timing analysis for latch-based pipeline designs
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2004, article number 1382622, p. 468-472
Chao, Mango Chia Tso; Wang, Lichung; Cheng, Tim Kwang-Ting; Kundu, Sandip
TranGen: A SAT-based ATPG for path-oriented transition faults
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, article number 1337546, p. 92-97
Yang, Kai; Cheng, Tim Kwang-Ting; Wang, Lichung





Article 10

A Multimodal Image Database System
International Conference on Computer Vision and Pattern Recognition, Madison, Wisconsin, United States, , 2003
Chang, Edward Yi; Li, Beitao; Lai, Wei-Cheng; Chang, Chengwei; Cheng, Tim Kwang-Ting; Crandell, Michael
An anatomy of a large-scale image search engine
Series in Machine Perception and Artificial Intelligence, v. 55, 2003, p. 235-254
Lai, Wei-Cheng; Cheng, Tim Kwang-Ting; Chang, Edward Yi
Critical Path Selection for Deep Sub-Micron Delay Test and Timing Validation
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, v. E86-A, (12), December 2003, p. 3038-3048
Liou, Jingjia; Wang, Lichung; Krstic, Angela; Cheng, Tim Kwang-Ting
DfT Sigma-Delta Modulator Architecture Implementation
Proc. IEEE International Mixed-Signal Testing Workshop, Seville, Spain, , June, 2003, p. 137-142
Cheng, Tim Kwang-Ting; Ong, Chee-Kian
Enhanced Symbolic Simulation for Functional Verification of Embedded Array Systems
Design Automation for Embedded Systems, v. 8, (2-3), June 2003, p. 173-188
Wang, Lichung; Feng, Tao; Cheng, Tim Kwang-Ting; Abadir, Magdy S.; Pandey, Manish
Guest editors' introduction: Speed test and speed binning for complex ICs
IEEE Design and Test of Computer, v. 20, (5), September-October 2003, article number 1232250, p. 6-7
Butler, Kenneth M.; Cheng,Tim Kwang-Ting; Wang, Lichung
Modeling, testing, and analysis for delay defects and noise effects in deep submicron devices
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 22, (6), June 2003, article number 1201588, p. 756-769
Liou, Jingjia; Krstic, Angela; Jiang, YiMing; Cheng, Tim Kwang Ting
Practical considerations in applying Σ-Δ modulation-based analog BIST to sampled-data systems
IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, v. 50, (9), Septemeber 2003, article number 1232530, p. 553-566
Hong, Haochiao; Huang, Jiun-Lang; Cheng, Tim Kwang-Ting; Wu, Chengwen; Kwai, Dingming
SHIVA – A Fast Hybrid Constraint Solver for Circuits
TECHCON 2003: Session Papers, 2003
Iyer, Madhu K.; Parthasarathy, Ganapathy; Cheng, Tim Kwang-Ting
Special Section on VLSI Design and CAD Algorithms-Timing Verification and Test Generation-Critical Path Selection for Deep Sub-Micron Delay Test and Timing Validation
IEICE Transactions on Fundamentals Electronics Communications and Computer Sciences, v. 86, (12), 2003, p.3038-3048
Liou, Jingjia; Wang, Lichung; Krstic, Angela; Cheng, Tim Kwang-Ting

Conference paper 16

A circuit SAT solver with signal correlation guided learning
Proceedings - Design, Automation, and Test in Europe Conference and Exhibition, 2003, Article number 1253719, p. 892-897
Lu, Feng; Wang, Lichung; Cheng, Tim Kwang-Ting; Huang, Ric C.Y.
A comparison of BDDs, BMC, and sequential SAT for model checking
IEEE International High Level Design Validation and Test Workshop (HLDVT), v. 2003, 2003, Article number 1252490, p. 157-162
Parthasarathy, Ganapathy; Iyer, Madhu K.; Cheng, Tim Kwang-Ting; Wang, Lichung
A signal correlation guided ATPG solver and its applications for solving difficult industrial cases
Proceedings of the 40th Design Automation Conference, 2003, p. 436-441
Lu, Feng; Wang, Lichung; Cheng, Tim Kwang-Ting; Moondanos, John; Hanna, Ziyad
Delay defect diagnosis based upon a statistical timing model - The first step
IEE Proceedings - Computers and Digital Techniques, v. 150, (5 SPEC. ISS.), September 2003, p. 346-354
Krstic, Angela; Wang, Lichung; Cheng, Tim Kwang Ting; Liou, Jingjia; Abadir, Magdy S.
Delay defect diagnosis based upon statistical timing models - The first step
Proceedings - Design, Automation, and Test in Europe Conference and Exhibition, 2003, Article number 1253628, p. 328-333
Krstic, Angela; Wang, Lichung; Cheng, Tim Kwang-Ting; Liou, Jingjia; Abadir, Magdy S.
Delta-sigma modulator based mixed-signal BIST architecture for SoC
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2003-January, 2003, article number 1195106, p. 669-674
Ong, Chee-Kian; Cheng, Tim Kwang Ting; Wang, Lichung
Diagnosis of delay defects using statistical timing models
Proceedings of the IEEE VLSI Test Symposium, v. 2003-January, 2003, article number 1197672, p. 339-344
Krstic, Angela; Wang, Lichung; Cheng, Tim Kwang Ting; Liou, Jingjia
Diagnosis-Based Post-Silicon Timing Validation Using Statistical Tools and Methodologies
Proceedings of IEEE International Test Conference (ITC), 2003, p. 339-348
Krstic, Angela; Wang, Lichung; Cheng, Tim Kwang-Ting; Mak, T.M.
Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models
Proceedings of the 40th annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2003, p. 668-673
Krstic, Angela; Wang, Lichung; Cheng, Tim Kwang Ting; Liou, Jingjia; Mak, T.M.
Experience in critical path selection for deep sub-micron delay test and timing validation
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2003-January, 2003, article number 1195120, p. 751-756
Liou, Jingjia; Wang, Lichung; Krstic, Angela; Cheng, Tim Kwang Ting
Multimedia web services for content filtering, searching, and digital rights management
ICICS-PCM 2003 - Proceedings of the 2003 Joint Conference of the 4th International Conference on Information, Communications and Signal Processing and 4th Pacific-Rim Conference on Multimedia, v. 1-3, 2003, article number 1292441, p. 191-196
Wu, Yileh; Chang, Chengwei; Lai, Wei-Cheng; Cheng, Tim Kwang-Ting; Chang, Edward Yi
On structural vs. functional testing for delay faults
Proceedings - International Symposium on Quality Electronic Design, ISQED, v. 2003-January, 2003, article number 1194772, p. 438-441
Krstic, Angela; Liou, Jingjia; Cheng, Tim Kwang Ting; Wang, Lichung
SATORI - A fast sequential sat engine for circuits
ICCAD: International Conference on Computer Aided Design., 2003, p. 320-325
Iyer, Madhu K.; Parthasarathy, Ganapathy; Cheng, Tim Kwang-Ting
Test consideration for nanometer scale CMOS circuits
Proceedings of the IEEE VLSI Test Symposium, v. 2003, 2003, Article number 1197668, p. 313-315
Roy, Kaushik C.; Mak, T.M.; Cheng, Tim Kwang-Ting
The Confluence of Manufacturing Test and Design Validation
Proceedings International Test Conference 2003; Charlotte, NC; United States, 30 September to 2 October 2003, p. 1293
Cheng, Tim Kwang Ting
Using Logic Models to Predict the Detection Behavior of Statistical Timing Defects
Proceedings International Test Conference 2003, Charlotte, NC, USA, 30 September to 2 October 2003, p. 1041-1050
Wang, Lichung; Krstic, Angela; Lee, Leonard; Cheng, Tim Kwang Ting; Mercer, Melvin Ray; Williams, Thomas W.; Abadir, Magdy S.





Article 1

Embedded software-based self-test for programmable core-based designs
IEEE Design and Test of Computers, v. 19, (4), July-August 2002, article number 1018130, p. 18-27
Krstic, Angela; Chen, Li; Lai, Wei-Cheng; Cheng, Tim Kwang-Ting; Dey, Su-Jit

Conference paper 17

An Enhanced BIST Scheme for ADC and Non-monotonic DAC
IEEE International Mixed-Signal Test Workshop, Montreux, Switzerland, June 19-21, 2002, 2002, p.171-180
Ong, Chee-Kian; Chang, Yeongjar; Chang, Soon-Jyh; Huang, Jiun-Lang; Huang, Kuo-Chan; Cheng, Tim Kwang-Ting; Wu, Wen-Ching
Analysis of delay test effectiveness with a multiple-clock scheme
IEEE International Test Conference (TC), 2002, article number 1041786, p. 407-416
Liou, Jingjia; Wang, Lichung; Cheng, Tim Kwang-TIng; Dworak, Jennifer L.; Mercer, Melvin Ray; Kapur, Rohit; Williams, Thomas W.
Combining ATPG and symbolic simulation for efficient validation of embedded array systems
IEEE International Test Conference (TC), 2002, article number 1041762, p. 203-212
Parthasarathy, Ganapathy; Iyer, Madhu K.; Feng, Tao; Wang, Lichung; Cheng, Tim Kwang-Ting; Abadir, Magdy S.
Embedded Software-Based Self-Testing for SoC Design
Proceedings of the 39th annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2002, p. 355-360
Krstic, Angela; Lai, Wei-Cheng; Chen, Li; Cheng, Tim Kwang-Ting; Dey, Su-Jit
Enhancing test efficiency for delay fault testing using multiple-clocked schemes
Proceedings - Design Automation Conference, 2002, article number 1012652, p. 371-374
Liou, Jingjia; Wang, Lichung; Cheng, Tim Kwang-Ting; Dworak, Jennifer L.; Mercer, Melvin Ray; Kapur, Rohit; Williams, Thomas W.
False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation
Proceedings of the 39th annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2002, p. 566-569
Liou, Jingjia; Krstic, Angela; Wang, Lichung; Cheng, Tim Kwang-Ting
Hybrid learning schemes for multimedia information retrieval
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 2532 LNCS, 2002, p. 556-563
Lai, Wei-Cheng; Chang, Edward Yi; Cheng, Tim Kwang-Ting
MORF: A distributed multimodal information filtering system
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 2532 LNCS, 2002, p. 279-286
Wu, Yileh; Chang, Edward Yi; Cheng, Tim Kwang-Ting; Chang, Chengwei; Hsu, Chencha; Lai, Wei-Cheng; Wu, Chingtung
On the development of ATPG based Satisfiability Checker
Proceedings of IEEE Microprocessor Test and Verification Workshop, 2002
Lyer, Madhu K.; Parthasarathy, Ganapathy; Cheng, Tim Kwang-Ting
On theoretical and practical considerations of path selection for delay fault testing
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers /Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2002, p. 94-100
Liou, Jingjia; Wang, Lichung; Cheng, Tim Kwang-Ting
On-chip analog response extraction with 1-bit Σ-Δ modulators
Proceedings of the Asian Test Symposium, v. 2002-January, 2002, article number 1181684, p. 49-54
Hong, Haochiao; Huang, Jiun-Lang; Cheng, Tim Kwang-Ting; Wu, Chengwen
PBIR-MM: Multimodal image retrieval and annotation
Proceedings of the ACM International Multimedia Conference and Exhibition / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2002, p. 421-422
Lai, Wei-Cheng; Chang, Chengwei; Chang, Edward Yi; Cheng, Tim Kwang Ting; Crandell, Michael
Self-referential verification of gate-level implementations of arithmetic circuits
Proceedings - Design Automation Conference, 2002, article number 1012641, p. 311-316
Chang, Ying Tsai; Cheng, Tim Kwang Ting
Self-testing second-order delta-sigma modulators using digital stimulus
Proceedings of the IEEE VLSI Test Symposium, v. 2002-January, 2002, article number 1011122, p. 123-128
Ong, Chee-Kian; Cheng, Tim Kwang-Ting
Software-based weighted random testing for IP cores in bus-based programmable SoCs
Proceedings of the IEEE VLSI Test Symposium, v. 2002-January, 2002, article number 1011125, p. 139-144
Iyer, Madhu K.; Cheng, Tim Kwang-Ting
Supporting subjective image queries without seeding requirements - Proposing test queries for Benchathlon
Proceedings of SPIE - The International Society for Optical Engineering, v. 4672, 2002, p. 225-232
Chang, Edward Yi; Cheng, Tim Kwang-Ting
Testing second-order delta-sigma modulators using pseudo-random patterns
Microelectronics Journal, v. 33, (10), October 2002, p. 807-814
Ong, Chee-Kian; Huang, Jiun-Lang; Cheng, Tim Kwang-Ting





Article 5

Limitations and challenges of computer-aided design technology for CMOS VLSI
Proceedings of the IEEE, v. 89, (3), March 2001, article number 915378, p. 341-365
Bryant, Randal E.; Cheng, Tim Kwang-Ting; Kahng, Andrew; Keutzer, Kurt W.; Ma?y, Wojciech P.; Newton, Richard A.; Pileggi, Lawrence T.; Rabaey, Jan M.; Sangiovanni-vincentelli, Alberto K.
Pattern generation for delay testing and dynamic timing analysis considering power-supply noise effects
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 20, (3), March 2001, article number 913759, p. 416-425
Krstic, Angela; Jiang, Yi-Min; Cheng, Tim Kwang-Ting
Using word-level ATPG and modular arithmetic constraint-solving techniques for assertion property checking
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 20, (3), March 2001, article number 913756, p. 381-391
Huang, Chung-Yang; Cheng, Tim Kwang-Ting
Vector generation for power supply noise estimation and verification of deep submicron designs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 9, (2), April 2001, article number 924055, p. 329-340
Jiang, Yi-Min; Cheng, Tim Kwang-Ting
Verifying sequential equivalence using ATPG techniques
ACM Transactions on Design Automation of Electronic Systems, v. 6, (2), April 2001, p. 224-275
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien

Conference paper 14

A self-test methodology for IP cores in bus-based programmable SoCs
Proceedings of the 19th IEEE VLSI Test Symposium, 2001, p. 198-203
Huang, Jingreng; Iyer, Madhu K.; Cheng, Tim Kwang-Ting
An analysis of ATPG and SAT algorithms for formal verification
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, v. 2001-January, 2001, article number 972826, p. 177-182
Parthasarathy, Ganapathy; Huang, Chung-Yang; Cheng, Tim Kwang-Ting
An on-chip short-time interval measurement technique for testing high-speed communication links
Proceedings of the IEEE VLSI Test Symposium, 2001, article number 923466, p. 380-385
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting
Delay testing considering crosstalk-induced effects
IEEE International Test Conference (TC), 2001, article number 966674, p. 558-567
Krstic, Angela; Liou, Jingjia; Jiang, Yi-Min; Cheng, Tim Kwang-Ting
Embedded-software-based approach to testing crosstalk-induced faults at on-chip buses
Proceedings of the IEEE VLSI Test Symposium, 2001, article number 923440, p. 204-209
Lai, Wei-Cheng; Huang, Jingreng; Cheng, Tim Kwang-Ting
Fast statistical timing analysis by probabilistic event propagation
Proceedings - Design Automation Conference, 2001, article number 935590, p. 661-666
Liou, Jingjia; Cheng, Tim Kwang-Ting; Kundu, Sandip; Krstic, Angela
HRM - A hierarchical simulator for full-chip power network reliability analysis
Proceedings - International Symposium on Quality Electronic Design, ISQED, v. 2001-January, 2001, article number 915248, p. 307-312
Jiang, Yi-Min; Koh, Hanyoung; Cheng, Tim Kwang-Ting
Induction-based gate-level verification of multipliers
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, 2001, article number 968617, p. 190-193
Chang, Ying Tsai; Cheng, Tim Kwang-Ting
Instruction-level DfT for testing processor and IP cores in system-on-a-chip
Proceedings of the 38th annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2001, p. 59-64
Lai, Wei-Cheng; Cheng, Tim Kwang-Ting
Mining image features for efficient query processing
Proceedings - IEEE International Conference on Data Mining, ICDM, 2001, article number 989539, p. 353-360
Li, Beitao; Lai, Wei-Cheng; Chang, Edward Yi; Cheng, Tim Kwang-Ting
PBIR - Perception-based image retrieval
Proceedings of the ACM SIGMOD International Conference on Management of Data / Timos Sellis, Sharad Mehrotra, editors. New York, NY, United States : Association for Computing Machinery, 2001, p. 613-613
Chang, Edward Yi; Cheng, Tim Kwang-Ting; Chang, Lihyuarn L.
PBIR: Perception-Based Image Retrieval-A system that can quickly capture subjective image query concepts
Proceedings of the ACM International Multimedia Conference and Exhibition / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2001, p. 611-614
Chang, Edward Yi; Cheng, Tim Kwang-Ting; Lai, Wei-Cheng; Wu, Chingtung; Chang, Chengwei; Wu, Yileh
Support vector machine pairwise classifiers with error reduction for image classification
Proceedings of the ACM International Multimedia Conference and Exhibition / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2001, p. 32-37
Goh, Kingshy; Chang, Edward Yi; Cheng, Tim Kwang-Ting
SVM binary classifier ensembles for image classification
International Conference on Information and Knowledge Management, Proceedings / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2001, p. 395-402
Goh, Kingshy; Chang, Edward Yi; Cheng, Tim Kwang-Ting





Article 6

AQUILA: An equivalence checking system for large sequential designs
IEEE Transactions on Computers, v. 49, (5), May 2000, article number 859539, p. 443-464
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien; Huang, Chung-Yang; Brewer, Forrest D.
Estimation for maximum instantaneous current through supply lines for CMOS circuits
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 8, (1), February 2000, article number 820762, p. 61-73
Jiang, Yi-Min; Krstic, Angela; Cheng, Tim Kwang-Ting
Functionally testable path delay faults on a microprocessor
IEEE Design & Test of Computers, v. 17, (4), October-December 2000, article number 895002, p. 6-14
Lai, Wei-Cheng; Krstic, Angela; Cheng, Tim Kwang-Ting
On improving test quality of scan-based BIST
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 19, (8), August 2000, article number 856978, p. 928-938
Tsai, Huan-Chih; Cheng, Tim Kwang-Ting; Bhawmik, Sudipta
Test point selection for analog fault diagnosis of unpowered circuit boards
IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, v. 47, (10), October 2000, article number 877140, p. 977-987
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting
Testable path delay fault cover for sequential circuits
Journal of Information Science and Engineering, v. 16, (5), September 2000, p. 673-686
Krstic, Angela; Chakradhar, Srimat T.; Cheng, Tim Kwang Ting

Conference paper 18

A BIST scheme for on-chip ADC and DAC testing
Proceedings -Design, Automation and Test in Europe, DATE, 2000, article number 840041, p. 216-220
Huang, Jiun-Lang; Ong, Chee-Kian; Cheng, Tim Kwang-Ting
A delta-sigma modulation based BIST scheme for mixed-signal systems
2000 Southwest Symposium on Mixed-Signal Design, SSMSD 2000, 2000, article number 836463, p. 147-152
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting
A sigma-delta modulation based BIST scheme for mixed-signal circuits
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2000, p. 605-612
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting
A testability metric for path delay faults and its application
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC /Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2000, p. 593-598
Tsai, Huan-Chih; Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.
An FPGA-based re-configurable functional tester for memory chips
Proceedings of the Asian Test Symposium, 2000, article number 893602, p. 51-57
Huang, Jingreng; Ong, Chee-Kian; Cheng, Tim Kwang-Ting; Wu, Chengwen
Assertion checking by combined word-level ATPG and modular arithmetic constraint-solving techniques
Proceedings of the 37th Annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2000, p. 118-123
Huang, Chung-Yang; Cheng, Tim Kwang-Ting
Characterization of a pseudo-random testing technique for analog and mixed-signal built-in-self-test
Proceedings of the IEEE VLSI Test Symposium, 2000, article number 843851, p. 237-246
Tofte, Jan Arild; Ong, Chee-Kian; Huang, Jiun-Lang; Cheng, Tim Kwang-Ting
Collaboration between industry and academia in test research
Proceedings of the Ninth Asian Test Symposium, 2000, article number 893596
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.; Jou, Jing-Yang; Wang, Lichung; Wu, Chi-Feng; Wu, Shianling
Dynamic timing analysis considering power supply noise effects
Proceedings - International Symposium on Quality Electronic Design, ISQED, v. 2000-January, 2000, article number 838866, p. 137-143
Jiang, Yi-Min; Krstic, Angela; Cheng, Tim Kwang-Ting
Efficient test mode selection and insertion for RTL-BIST
IEEE International Test Conference (TC), 2000, article number 894214, p. 263-272
Roy, Subrata; Guner, Gokhan; Cheng, Tim Kwang-Ting
On testing the path delay faults of a microprocessor using its instruction set
Proceedings 18th IEEE VLSI Test Symposium, 2000, article number 843821, p. 15-20
Lai, Wei-Cheng; Krstic, Angela; Cheng, Tim Kwang-Ting
Path selection and pattern generation for dynamic timing analysis considering power supply noise effects
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, v. 2000-January, 2000, article number 896521, p. 493-496
Liou, Jingjia; Krstic, Angela; Jiang, Yi-Min; Cheng, Tim Kwang-Ting
Path selection for delay testing of deep sub-micron devices using statistical performance sensitivity analysis
Proceedings of the IEEE VLSI Test Symposium, 2000, article number 843832, p. 97-104
Liou, Jingjia; Cheng, Tim Kwang-Ting; Mukherjee, Debaditya Aditya
Performance sensitivity analysis using statistical methods and its applications to delay testing
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2000, article number 835169, p. 587-592
Liou, Jingjia; Krstic, Angela; Cheng, Tim Kwang-Ting; Mukherjee, Debaditya Aditya; Kundu, Sandip
Static property checking using ATPG v.s. BDD techniques
IEEE International Test Conference (TC), 2000, article number 894219, p. 309-316
Huang, Chung-Yang; Yang, Bwolen; Tsai, Huan-Chih; Cheng, Tim Kwang-Ting
Test challenges for deep sub-micron technologies
Proceedings of the 37th Annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2000, p. 142-149
Cheng, Tim Kwang-Ting; Dey, Su-Jit; Rodgers, Mike J.; Roy, Kaushik C.
Test program synthesis for path delay faults in microprocessor cores
IEEE International Test Conference (TC), 2000, article number 894321, p. 1080-1089
Lai, Wei-Cheng; Krstic, Angela; Cheng, Tim Kwang-Ting
Testing and characterization of the one-bit first-order delta-sigma modulator for on-chip analog signal analysis
IEEE International Test Conference (TC), 2000, article number 894315, p. 1021-1030
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting





Article 6

AutoFix: A hybrid tool for automatic logic rectification
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 18, (9), September 1999, article number 784128, p. 1376-1384
Huang, Shi-Yu; Chen, Kuang-Chien; Cheng, Tim Kwang-Ting
Current Directions in Automatic Test-Pattern Generation
Computer, v. 32, (11), November 1999, article number 803642, p. 58-64
Cheng, Tim Kwang-Ting; Krstic, Angela
ErrorTracer: Design error diagnosis based on fault simulation techniques
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 18, (9), September 1999, article number 784125, p. 1341-1352
Huang, Shi-Yu; Cheng, Tim Kwang-Ting
Fault emulation: A new methodology for fault grading
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 18, (10), October 1999, article number 790625, p. 1487-1495
Cheng, Tim Kwang-Ting; Huang, Shi-Yu; Dai, Wei-Jin
Primitive delay faults: Identification, testing, and design for testability
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 18, (6), June 1999, article number 766720, p. 669-684
Krstic, Angela; Cheng, Tim Kwang-Ting; Chakradhar, Srimat T.
Test generation for linear time-invariant analog circuits
IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, v. 46, (5), May 1999, article number 769804, p. 554-564
Pan, Chen-Yang; Cheng, Tim Kwang-Ting

Conference paper 7

A new bare die test methodology
Proceedings of the IEEE VLSI Test Symposium, 1999, article number 766678, p. 290-295
Yang, Zao; Cheng, Tim Kwang-Ting; Tai, K.L.
Analysis of performance impact caused by power supply noise in deep submicron devices
Proceedings - Design Automation Conference, 1999, article number 782118, p. 760-765
Jiang, Yi-Min; Cheng, Tim Kwang-Ting
Delay testing considering power supply noise effects
IEEE International Test Conference (TC), 1999, article number 805629, p. 181-190
Krstic, Angela; Jiang, Yi-Min; Cheng, Tim Kwang-Ting
Improving the test quality for scan-based BIST using a general test application scheme
Proceedings of the 36th annual ACM/IEEE Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1999, p. 748-753
Tsai, Huan-Chih; Cheng, Tim Kwang-Ting; Bhawmik, Sudipta
Specification back-propagation and its application to DC fault simulation for analog/mixed-signal circuits
Proceedings of the IEEE VLSI Test Symposium, 1999, article number 766669, p. 220-225
Huang, Jiun-Lang; Pan, Chen-Yang; Cheng, Tim Kwang-Ting
Testing high speed VLSI devices using slower testers
Proceedings of the IEEE VLSI Test Symposium, 1999, article number 766641, p. 16-21
Krstic, Angela; Cheng, Tim Kwang-Ting; Chakradhar, Srimat T.
VIP - an input pattern generator for identifying critical voltage drop for deep sub-micron designs
Proceedings of the International Symposium on Low Power Electronics and Design, Digest of Technical Papers / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1999, p. 156-161
Jiang, Yi-Min; Young, Tak K.; Cheng, Tim Kwang-Ting





Article 3

A hybrid methodology for switching activities estimation
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 17, (4), 1998, p. 357-366
Cheng, David Ihsin; Cheng, Tim Kwang-Ting; Wang, Deborah C.; Marek-Sadowska, Malgorzata M.
Efficient test-point selection for scan-based BIST
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 6, (4), December 1998, article number 736140, p. 667-676
Tsai, Huan-Chih; Cheng, Tim Kwang-Ting; Lin, Chih-Jen; Bhawmik, Sudipta
Test-point insertion: scan paths through functional logic
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 17, (9), 1998, p. 838-851
Lin, Chih-Chang; Marek-Sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting; Lee, Mike Tien-Chien

Book 1

Delay Fault Testing for VLSI Circuits
Delay Fault Testing for VLSI Circuits / by Angela Krsti?, Kwang-Ting Cheng. United States: Springer US, 1998. Frontiers in Electronic Testing, v. 14.
Krstic, Angela; Cheng, Tim Kwang-Ting

Book chapter 19

Algorithm for Verifying Retimed Circuits
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 111-121, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting
AQUILA: A Local BDD-based Equivalence Verifier
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 91-109, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting
Case Studies on Delay Testing
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 33-44, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting
Conclusions and Future Work
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 169-172, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting
Delay Fault Models
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 23-31, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting
Delay Fault Simulation
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 77-100, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting
Design for Delay Fault Testability
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 131-155, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting
ErrorTracer: Error Diagnosis by Fault Simulation
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 159-174, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting
Extension to Sequential Error Diagnosis
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 175-187, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting
Incremental logic rectification
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 189-209, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting
Incremental Verification for Combinational Circuits
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 39-60, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting
Incremental Verification for Sequential Circuits
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 61-90, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting
Introduction to Logic Debugging
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 139-157, Book series: Frontiers in Electronic Testing, v.12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting
Path Delay Fault Classification
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 45-76, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting
RTL-to-Gate Verification
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 123-136, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting
Symbolic Verification
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 17-37, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting
Synthesis for Delay Fault Testability
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 157-168, Book series: Frontiers in Electronic Testing, v.14
Krstic, Angela; Cheng, Tim Kwang-Ting
Test Application Schemes for Testing Delay Defects
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 7-22, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting
Test Generation for Path Delay Faults
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 101-130, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting

Conference paper 8

A hybrid power model for RTL power estimation
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 1998, article number 669550, p. 551-556
Jiang, Yi-Min; Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Wang, Deborah C.; Ho, Ching Yen
An almost full-scan BIST solution - higher fault coverage and shorter test application time
IEEE International Test Conference (TC), 1998, article number 743305, p. 1065-1073
Tsai, Huan-Chih; Bhawmik, Sudipta; Cheng, Tim Kwang-Ting
Estimation of maximum power supply noise for deep sub-micron designs
Proceedings of the International Symposium on Low Power Electronics and Design, Digest of Technical Papers / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1998, p. 233-238
Jiang, Yi-Min; Cheng, Tim Kwang-Ting; Deng, An-Chang
Exact and approximate estimation for maximum instantaneous current of CMOS circuits
Proceedings -Design, Automation and Test in Europe, DATE, 1998, article number 655934, p. 698-702
Jiang, Yi-Min; Cheng, Tim Kwang-Ting
Fault-simulation based design error diagnosis for sequential circuits
Proceedings - Design Automation Conference, 1998, article number 724548, p. 632-637
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien; Lu, Juin-Yeu Joseph
Functional scan chain testing
Proceedings -Design, Automation and Test in Europe, DATE, 1998, article number 655868, p. 278-283
Chang, Douglas; Lee, Mike Tien-Chien; Cheng, Tim Kwang-Ting; Marek-sadowska, Malgorzata M.
LIBRA - a library-independent framework for post-layout performance optimization
Proceedings of the International Symposium on Physical Design / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1998, p. 135-140
Huang, Ric C.Y.; Wang, Yucheng; Cheng, Tim Kwang-Ting
National Science Foundation Workshop on future research directions in testing of electronic circuits and systems
IEEE International Test Conference (TC), 1998, article number 743355, p. 1157-1161
Cheng, Tim Kwang-Ting





Article 3

Postlayout logic restructuring using alternative wires
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 16, (6), June 1997, article number 640617, p. 587-596
Chang, Shih-Chieh; Cheng, Tim Kwang Ting; Woo, Nam-Sung; Marek-sadowska, Malgorzata M.
Pseudorandom testing for mixed-signal circuits
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 16, (10), October 1997, article number 662678, p. 1173-1185
Pan, Chen-Yang; Cheng, Tim Kwang Ting
Resynthesis of Combinational Circuits for Path Count Reduction and for Path Delay Fault Testability
Journal of Electronic Testing: Theory and Application (JETTA), v. 11, (1), August 1997, p. 43-54
Krstic, Angela; Cheng, Tim Kwang-Ting

Conference paper 11

A Hybrid algorithm for test point selection for scan-based BIST
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1997, p. 478-483
Tsai, Huan-Chih; Cheng, Tim Kwang-Ting; Lin, Chih-Jen; Bhawmik, Sudipta
Analog fault diagnosis for unpowered circuit boards
Proceedings of the 1997 IEEE International Test Conference (ITC), 1997, p. 640-648
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting
AQUILA: An equivalence verifier for large sequential circuits
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 1997, p. 455-460
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien
Design for primitive delay fault testability
IEEE International Test Conference (TC), 1997, article number 639649, p. 436-445
Krstic, Angela; Chakradhar, Srimat T.; Cheng, Tim Kwang-Ting
ErrorTracer: A fault simulation-based approach to design error diagnosis
IEEE International Test Conference (TC), 1997, article number 639713, p. 974-981
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien; Cheng, David Ihsin
Estimation of maximum power and instantaneous current using a genetic algorithm
Proceedings of the 1997 IEEE Custom Integrated Circuits Conference, 1997, p. 135-138
Jiang, Yi-Min; Cheng, Tim Kwang-Ting; Krstic, Angela
Fault macromodeling for analog/mixed-signal circuits
IEEE International Test Conference (TC), 1997, article number 639706, p. 913-922
Pan, Chen-Yang; Cheng, Tim Kwang-Ting
Incremental logic rectification
Proceedings of the IEEE VLSI Test Symposium, 1997, p. 143-149
Huang, Shi-Yu; Chen, Kuang-Chien; Cheng, Tim Kwang-Ting
Post-layout logic restructuring for performance optimization
Proceedings of the 1997 34th Design Automation Conference, 1997, p. 662-665
Jiang, Yi-Min; Krstic, Angela; Cheng, Tim Kwang-Ting; Marek-Sadowska, Malgorzata M.
Test synthesis approach to reducing BALLAST DFT overhead
Proceedings of the 1997 34th Design Automation Conference, 1997, p. 466-471
Chang, Douglas; Lee, Mike Tien-Chien; Marek-Sadowska, Malgorzata M.; Aikyo, Takashi; Cheng, Tim Kwang-Ting
Vector generation for maximum instantaneous current through supply lines for CMOS circuits
Proceedings of the 1997 34th Design Automation Conference, 1997, p. 383-388
Krstic, Angela; Cheng, Tim Kwang-Ting





Article 7

Automatic generation of functionial vectors using the extended finite state machine model
ACM Transactions on Design Automation of Electronic Systems, v. 1, (1), January 1996, p. 57-79
Cheng, Tim Kwang-Ting; Krishnakumar, Avinash S.
Classification and identification of nonrobust untestable path delay faults
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 15, (8), August 1996, article number 511566, p. 845-853
Cheng, Tim Kwang-Ting; Chen, Hsi-Chuan
Design Validation: Formal Verification vs. Simulation vs. Functional Testing
Proceedings of the 14th IEEE VLSI Test Symposium (VTS '96), 1996
Abraham, J.; Bryant, Randal E.; Cheng, Tim Kwang-Ting; Dai, Wei-Jin
Fault macromodeling and a testing strategy for opamps
Journal of Electronic Testing: Theory and Applications (JETTA), v. 9, (3), December 1996, p. 225-235
Pan, Chen-Yang; Cheng, Tim Kwang-Ting; Gupta, Sandeep K.
Generation of high quality tests for robustly untestable path delay faults
IEEE Transactions on Computers, v. 45, (12), December 1996, article number 545968, p. 1379-1392
Cheng, Tim Kwang-Ting; Krstic, Angela; Chen, Hsi-Chuan
Perturb and simplify: multilevel boolean network optimizer
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 15, (12), December 1996, article number 552082, p. 1494-1504
Chang, Shih-Chieh; Marek-sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting
Tutorial and Survey Paper: Gate-Level test generation for sequential circuits
ACM Transactions on Design Automation of Electronic Systems, v. 1, (4), October 1996, p. 405-442
Cheng, Tim Kwang-Ting

Conference paper 14

An ATPG-based framework for verifying sequential equivalence
IEEE International Test Conference (TC), 1996, article number 557148, p. 865-874
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien; Glaeser, Uwe
An Efficient compact test generator for IDDQ testing
Proceedings of the Asian Test Symposium, 1996, article number 555156, p. 177-182
Kondo, Hisashi; Cheng, Tim Kwang-Ting
Built-In Self Test for Analog and Mixed-Signal Designs
Proceeding of 5th Asian Test Symposium, 1996, p. 197-198
Cheng, Tim Kwang-Ting
Compact vector generation for accurate power simulation
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1996, p. 161-164
Huang, Shi-Yu; Chen, Kuang-Chien; Cheng, Tim Kwang-Ting; Lee, Mike Tien-Chien
Driving toward higher IDDQ test quality for sequential circuits: A generalized fault model and its ATPG
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, 1996, article number 569610, p. 228-232
Kondo, Hisashi; Cheng, Tim Kwang-Ting
Error correction based on verification techniques
Proceedings - Design Automation Conference, 1996, article number 545583, p. 258-261
Huang, Shi-Yu; Chen, Kuang-Chien; Cheng, Tim Kwang-Ting
Identification and test generation for primitive faults
IEEE International Test Conference (TC), 1996, article number 557046, p. 423-432
Krstic, Angela; Cheng, Tim Kwang-Ting; Chakradhar, Srimat T.
Implicit functional testing for analog circuits
Proceedings of the IEEE VLSI Test Symposium, 1996, article number 510898, p. 489-494
Pan, Chen-Yang; Cheng, Tim Kwang-Ting
New hybrid methodology for power estimation
Proceedings - Design Automation Conference, 1996, article number 545616, p. 439-444
Cheng, David Ihsin; Cheng, Tim Kwang-Ting; Wang, Deborah C.; Marek-sadowska, Malgorzata M.
Novel methodology for transistor-level power estimation
International Symposium on Low Power Electronics and Design, Digest of Technical Papers, 1996, article number 542732, p. 67-72
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien; Lee, Mike Tien-Chien
On verifying the correctness of retimed circuits
Proceedings of the IEEE Great Lakes Symposium on VLSI, 1996, article number 497633, p. 277-280
Huang, Shi-Yu; Cheng, Tim Kwang Ting; Chen, Kuang-Chien
Scan paths through functional logic
Proceedings of the Custom Integrated Circuits Conference, 1996, article number 510603, p. 487-490
Lin, Chih-Chang; Marek-sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting; Lee, Mike Tien-Chien
Test point insertion: Scan paths through combinational logic
Proceedings - Design Automation Conference, 1996, article number 545585, p. 268-273
Lin, Chih-Chang; Marek-sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting; Lee, Mike Tien-Chien
Testable path delay fault cover for sequential circuits
European Design Automation Conference - Proceedings, 1996, article number 558208, p. 220-226
Krstic, Angela; Chakradhar, Srimat T.; Cheng, Tim Kwang-Ting





Article 3

Single-Clock Partial Scan
IEEE Design & Test of Computers, v. 12, (2), Summer 1995, article number 386002, p. 24-31
Cheng, Tim Kwang-Ting
Test synthesis: the practicality of DFT
EURO-DAC 1995, Brighton: Documentation tutorial, v. 4, 1995
Bennetts, R.G.; Cheng, Tim Kwang-Ting
Timing-Driven Partial Scan
IEEE Design & Test of Computers, v. 12, (4), Winter 1995, article number 491238, p. 52-59
Jou, Jing-Yang; Cheng, Tim Kwang-Ting

Conference paper 11

An Efficient algorithm for local don't care sets calculation
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1995, p. 663-667
Chang, Shih-Chieh; Marek-sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting
Fast identification of robust dependent path delay faults
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1995, p. 112-125
Sparmann, Uwe; Luxenburger, D.; Cheng, Tim Kwang-Ting; Reddy, Sudhakar M.
Fault emulation: A new approach to fault grading
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, 1995, article number 480203, p. 681-686
Cheng, Tim Kwang-Ting; Huang, Shi-Yu; Dai, Wei-Jin
Generation of high quality tests for functional sensitizable paths
Proceedings of the IEEE VLSI Test Symposium, 1995, article number 512663, p. 374-379
Krstic, Angela; Cheng, Tim Kwang-Ting
Logic optimization by an improved sequential redundancy addition and removal technique
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 1995, article number 486229, p. 235-240
Glaeser, Uwe; Cheng, Tim Kwang-Ting
Logic synthesis for engineering change
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1995, p. 647-652
Lin, Chih-Chang; Chen, Kuang-Chien; Chang, Shih-Chieh; Marek-sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting
Minimax end-to-end delay routing and capacity assignment for virtual circuit networks
Conference Record / IEEE Global Telecommunications Conference, v. 1-3, 1995, article number 502781, p. 2134-2138
Cheng, Tim Kwang-Ting; Lin, Frank Yeong-Sung
Partial scan designs without using a separate scan clock
Proceedings of the IEEE VLSI Test Symposium, 1995, article number 512649, p. 277-282
Cheng, Tim Kwang-Ting
Pseudo-random testing and signature analysis for mixed-signal circuits
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, 1995, article number 479999, p. 102-107
Pan, Chen-Yang; Cheng, Tim Kwang-Ting
Speeding up power estimation by topological analysis
Proceedings of the Custom Integrated Circuits Conference, 1995, article number 518260, p. 623-626
Cheng, David Ihsin; Marek-sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting
Timing-driven test point insertion for full-scan and partial-scan BIST
IEEE International Test Conference (TC), 1995, article number 529878, p. 506-514
Cheng, Tim Kwang-Ting; Lin, Chih-Jen





Article 1

Automatic test generation for digital electronic circuits
AT&T Technical Journal, v. 73, (2), March-April 1994, article number 6768146, p. 19-29
Chakraborty, Tapan J.; Davidson, Scott; Maamari, Fadi; Cheng, Tim Kwang-Ting

Conference paper 6

Comprehensive fault macromodel for opamps
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1994, p. 334-348
Pan, Chen-Yang; Cheng, Tim Kwang-Ting; Gupta, Sandeep K.
Generation of high quality non-robust tests for path delay faults
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1994, p. 365-369
Cheng, Tim Kwang-Ting; Chen, Hsi-Chuan
Layout driven logic synthesis for FPGAs
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1994, p. 308-313
Chang, Shih-Chieh; Cheng, Tim Kwang-Ting; Woo, Nam-Sung; Marek-sadowska, Malgorzata M.
On the computation of the set of reachable states of hybrid models
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1994, p. 615-620
Krishnakumar, Avinash S.; Cheng, Tim Kwang-Ting
On the joint virtual path assignment and virtual circuit routing problem in ATM networks
1994 IEEE GLOBECOM Communications: The Global Bridge, v. 1-3, 1994, article number 512701, p. 777-782
Cheng, Tim Kwang-Ting; Lin, Frank Yeong-Sung
Test Synthesis in Cooperation with the International Test Conference
IEEE Computer Society, v. 11, 1994, report number 1, p. 60-68
Bennetts, R.G.; Cheng, Tim Kwang-Ting; Kornegay, K.T.; Niermann, T.; Rajski, J.; Roy, Kaushik C.; Wagner, K.





Article 5

Delay-Fault Test Generation and Synthesis for Testability Under a Standard Scan Design Methodology
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 12, (8), August 1993, article number 238614, p. 1217-1231
Cheng, Tim Kwang-Ting; Devadas, Srinivas; Keutzer, Kurt W.
On the over-specification problem in sequential ATPG algorithms
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 12, (10), October 1993, article number 256935, p. 1599-1604
Cheng, Tim Kwang-Ting; Ma, Hi-Keung Tony
Redundancy Removal for Sequential Circuits Without Reset States
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 12, (1), January 1993, article number 184840, p. 13-24
Cheng, Tim Kwang-Ting
STOIC: State Assignment Based on Output/Input Functions
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 12, (8), August 1993, article number 238605, p. 1123-1131
Pomeranz, Irith; Cheng, Tim Kwang-Ting
Transition Fault Testing for Sequential Circuits
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 12, (12), December 1993, article number 251160, p. 1971-1983
Cheng, Tim Kwang-Ting

Conference paper 6

Automatic functional test generation using the extended finite state machine model
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1993, p. 86-91
Cheng, Tim Kwang-Ting; Krishnakumar, Anjur Sundaresan
Delay testing for non-robust untestable circuits
Proceedings of the International Test Conference, 1993, article number 470604, p. 954-961
Cheng, Tim Kwang-Ting; Chen, Hsi-Chuan
Multi-chip Modules Introduction
IEEE Design and Test of Computers, v. 10, (4), 1993, p. 7
Dai, W.W.M.; Cheng, Tim Kwang-Ting
Multi-level logic optimization by redundancy addition and removal
1993 European Conference on Design Automation with the European Event in ASIC Design, 1993, article number 386447
Cheng, Tim Kwang-Ting; Entrena, Luis A.
Sequential logic optimization by redundancy addition and removal
Proceedings of 1993 International Conference on Computer Aided Design (ICCAD), 1993, article number 580074, p. 310-315
Entrena, Luis A.; Cheng, Tim Kwang-Ting
Virtual path assignment and virtual circuit routing in ATM networks
IEEE Global Telecommunications Conference, v. 1, 1993, article number 318043, p. 436-441
Lin, Frank Yeong-Sung; Cheng, Tim Kwang-Ting





Article 2

A Functional Fault Model for Sequential Machines
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 11, (9), September 1992, article number 159992, p. 1065-1073
Cheng, Tim Kwang-Ting; Jou, Jing-Yang
Initializability Consideration in Sequential Machine Synthesis
IEEE Transactions on Computers, v. 41, (3), March 1992, article number 127453, p. 374-379
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.

Conference paper 7

A partial enhanced-scan approach to robust delay-fault test generation for sequential circuits
Digest of Papers - International Test Conference, January 1992, article number 519700, p. 403-410
Cheng, Tim Kwang-Ting; Devadas, Srinivas; Keutzer, Kurt W.
An ATPG-based approach to sequential logic optimization
1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers, 1992, article number 185279, p. 372-375
Cheng, Tim Kwang-Ting
On the over-specification problem in sequential ATPG algorithms
Proceedings - Design Automation Conference, 1992, article number 227870, p. 16-21
Cheng, Tim Kwang-Ting; Ma, Hi-Keung Tony
State assignment using input/output functions
Proceedings - Design Automation Conference, 1992, article number 227820, p. 573-577
Pomeranz, Irith; Cheng, Tim Kwang-Ting
Test generation for delay faults in non-scan and partial scan sequential circuits
IEEE/ACM International Conference on Computer-Aided Design, 1992, article number 279313, p. 554-559
Cheng, Tim Kwang-Ting
Timing-driven partial scan
1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers, 1992, p. 404-407
Jou, Jing-Yang; Cheng, Tim Kwang-Ting
Transition fault simulation for sequential circuits
Proceedings - International Test Conference, v. 1992-January, 1992, article number 527894, p. 723-731
Cheng, Tim Kwang-Ting





Article 1

Methods for synthesizing testable sequential circuits
AT&T Technical Journal, v. 70, (1), January-February 1991, article number 6769153, p. 64-86
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.

Conference paper 5

Behavioral and logic synthesis for performance and testability
4th Int. Syrup, IC Design, Manufacture and Applications ISIC-91, 1991, p. 23-28
Cheng, Tim Kwang-Ting; Dussault, J.; Fishburn, J.P.; Jou, Jing-Yang
On removing redundancy in sequential circuits
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1991, p. 164-169
Cheng, Tim Kwang-Ting
Pascant: A partial scan and test generation system
Proceedings of the Custom Integrated Circuits Conference, 1991, article number 163995, p. 439-442
Bhawmik, Sudipta; Lin, Chih-Jen; Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.
Robust delay-fault test generation and synthesis for testability under a standard scan design methodology
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1991, p. 80-86
Cheng, Tim Kwang-Ting; Devadas, Srinivas; Keutzer, Kurt W.
Test function specification in synthesis
27th ACM/IEEE Design Automation Conference. Proceedings 1990 / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1991, p. 235-240
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting





Article 3

A Partial Scan Method for Sequential Circuits with Feedback
IEEE Transactions on Computers, v. 39, (4), April 1990, article number 54847, p. 544-548
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.
A Simulation-Based Method for Generating Tests for Sequential Circuits
IEEE Transactions on Computers, v. 39, (12), December 1990, article number 61065, p. 1456-1463
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.; Kuh, Ernest S.
Finite state machine synthesis with embedded test function
Journal of Electronic Testing, v. 1, (3), October 1990, p. 221-228
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting

Conference paper 6

A single-state-transition fault model for sequential machines
1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers, 1990, article number 129887, p. 226-229
Cheng, Tim Kwang-Ting; Jou, Jing-Yang
An architecture for synthesis of testable finite state machines
Proceedings of the European Design Automation Conference, EDAC 1990, 1990, article number 136719, p. 612-616
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting
An entropy measure for the complexity of multi-output Boolean functions
27th ACM/IEEE Design Automation Conference. Proceedings 1990 / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1990, p. 302-305
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.
Functional test generation for finite state machines
Digest of Papers - International Test Conference, 1990, article number 114014, p. 162-168
Cheng, Tim Kwang-Ting; Jou, Jing-Yang
Synthesis of testable finite state machines
Proceedings - IEEE International Symposium on Circuits and Systems, v. 1-4, 1990, article number 112671, p. 3114-3117
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.
Timing optimization with testability considerations
1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers, 1990, article number 129953, p. 460-463
Saldanha, Alexander C.; Brayton, Robert K.; Sangiovanni-vincentelli, Alberto K.; Cheng, Tim Kwang-Ting





Article 1

A Directed Search Method for Test Generation Using a Concurrent Simulator
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 8, (2), February 1989, article number 21831, p. 131-138
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting; Agrawal, Prathima

Book 1

Unified Methods for VLSI Simulation and Test Generation
Unified Methods for VLSI Simulation and Test Generation / Cheng, Tim Kwang-Ting, Agrawal, Vishwani D. . USA: Kluwer Academic Publishers Norwell, 1989
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.

Conference paper 5

Concurrent test generation and design for testability
Proceedings - IEEE International Symposium on Circuits and Systems, v. 3, 1989, article number 100748, p. 1935-1938
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.
Design of sequential machines for efficient test generation
1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers, 1989, article number 76969, p. 358-361
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.
Economical scan design for sequential logic test generation
Digest of Papers - FTCS (Fault-Tolerant Computing Symposium), 1989, article number 105539, p. 28-35
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.
Fault simulation in a pipelined multiprocessor system
Proceedings. 'Meeting the Tests of Time'., International Test Conference, 1989, article number 82361, p. 727-734
Agrawal, Prathima; Agrawal, Vishwani D.; Cheng, Kwang-Ting; Tutundjian, Raffi
State assignment for initializable synthesis
1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers, 1989, article number 76938, p. 212-215
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.





Article 1

Designing circuits with partial scan
IEEE Design & Test of Computers, v. 5, (2), April 1988, article number 2032, p. 8-15
Agrawal, Vishwani D.; Lin, Tonysheng; Cheng, Tim Kwang-Ting; Johnson, Daniel D.

Book chapter 1

Threshold-value Simulation and Test Generation
Testing and Diagnosis of VLSI and ULSI / Fabrizio Lombardi, Mariagiovanna Sami, editors. Dordrecht : Springer, 1988, p. 311-323, Book series: NATO ASI Series, v. 151
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting

Conference paper 2

CONTEST: A concurrent test generator for sequential circuits.
Proceedings - Design Automation Conference, 1988, article number 14739, p. 84-89
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting; Agrawal, Prathima
Sequential circuit test generation using threshold-value simulation
Digest of Papers - FTCS (Fault-Tolerant Computing Symposium), 1988, article number 5292, p. 24-29
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.; Kuh, Ernest S.





Conference paper 2

Complete solution to the partial scan problem
Digest of Papers - International Test Conference, 1987, p. 44-51
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting; Johnson, Daniel D.; Lin, Tonysheng
Simulation-based directed-search method for test generation
Proceedings - 1987 IEEE International Conference on Computer Design: VLSI in Computers & Processors, 1987, p. 48-51
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.





Article 1

An Approach to structural optimization—sequential quadratic programming, SQP
Engineering Optimization, v. 8, (1), January 1984, p. 83-100
Qian, L.X.; Zhong, W.X.; Cheng, Tim Kwang-Ting; Sui, Y.K.





Conference paper 1

Sequential Quadratic Programming and Dynamic Optimal Design of Rotating Blades
Optimization Methods in Structural Design, v. 1, 1982, p. 301
Cheng, Tim Kwang-Ting; Gu, Y.





2016 18

An Efficient Network-on-Chip Yield Estimation Approach Based on Gibbs Sampling
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 35, (3), March 2016, article number 7229262, p. 447-457
Lan, Fan; Pan, Yun; Cheng, Tim Kwang-Ting Article
Associative Memristive Memory for Approximate Computing in GPUs
IEEE Journal on Emerging and Selected Topics in Circuits and Systems, v. 6, (2), June 2016, article number 7446351, p. 222-234
Ghofrani, Amirali; Rahimi, Abbas; Lastras-monta?o, Miguel Angel; Benini, Luca; Gupta, Rajesh Kamal; Cheng, Tim Kwang-Ting Article
Renal Compartment Segmentation in DCE-MRI Images
Medical Image Analysis, v. 32, August 2016, p. 269-280
Yang, Xin; Le Minh, Hung; Cheng, Tim Kwang-Ting; Sung, Kyung Hyun; Liu, Wenyu Article
Automatic Test Pattern Generation
Electronic Design Automation for IC System Design, Verification, and Testing / Edited by Luciano Lavagno, Grant Martin, Igor L. Markov, Louis K. Scheffer. Boca Raton : CRC Press, 2016, p. 559-604
Cheng, Tim Kwang-Ting; Wang, Li-C; Li, Huawei; Li, James Chien-Mo Book chapter
A Low-Power Hybrid Reconfigurable Architecture For Resistive Random-Access Memories
Proceedings - International Symposium on High-Performance Computer Architecture, v. 2016-April, April 2016, article number 7446057, p. 102-113
Miguel, Angel Lastras-Monta?o; Amirali, Ghofrani; Cheng, Tim Kwang-Ting Conference paper
A Wearable Signal Acquisition System for Physiological Signs Including Throat PPG
Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS, v. 2016-October, October 2016, article number 7590774, p. 603-606
Zhong, Yizhou; Pan, Yun; Zhang, Ling; Cheng, Tim Kwang-Ting Conference paper
Accurate and Efficient Pulse Measurement from Facial Videos on Smartphones
2016 IEEE Winter Conference on Applications of Computer Vision, WACV 2016, July 2016, article number 7477669, p. 1037-1044
Huang, Chong; Yang, Xin; Cheng, Tim Kwang-Ting Conference paper
Detecting Hardware Trojans in Unspecified Functionality Using Mutation Testing
2015 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015, January 2016, article number 7372619, p. 560-566
Fern, Nicole; Cheng, Tim Kwang-Ting Conference paper
Hardware Trojans in Incompletely Specified On-chip Bus Systems
Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016, April 2016, article number 7459366, p. 527-530
Fern, Nicole; San, Ismail; Kaya koc, Cetin; Cheng, Kwang-Ting Tim Conference paper
In-place Repair for Resistive Memories Utilizing Complementary Resistive Switches
ISLPED '16: Proceedings of the 2016 International Symposium on Low Power Electronics and Design / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2016, p. 350-355
Ghofrani, Amirali; Lastras-montano, Miguel Angel; Wang, Yuyang; Cheng, Kwang-Ting Tim Conference paper
Large-Signal Model for Small-Size High-Speed Carrier-Injection Silicon Microring Modulator
OSA technical Digest, 2016, article number IW1B.4
Wu, Rui; Chen, Chin-Hui; Ashkan seyedi; Huang, Tsung-Ching; Fiorentino, Marco; Beausoleil, Ray; Cheng, Kwang-Ting Tim Conference paper
Local Feature Descriptor Learning with Adaptive Siamese Network
Local Features: State of the art, open problems and performance evaluation (ECCV 2016) The 14th European Conference on Computer Vision, Amsterdam, the Netherlands, 2016
Huang, Chong; Liu, Qiong; Chen, Yan-Ying; Cheng, Tim Kwang-Ting Conference paper
Pairwise Proximity-Based Features for Test Escape Screening
2015 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015, January 2016, article number 7372584, p. 300-306
Lin, Fan; Hsu, Chun-Kai; Busetto, Alberto Giovanni; Cheng, Tim Kwang-Ting Conference paper
Printed Circuits on Flexible Substrates: Opportunities and Challenges (invited paper)
2016 10th IEEE/ACM International Symposium on Networks-on-Chip, NOCS 2016, October 2016, article number 7579340
Huang, Tsung-Ching; Cheng, Tim Kwang-Ting; Beausoleil, Raymond G. Conference paper
Process-variation tolerant flexible circuit for wearable electronics
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2016-July, July 2016, article number 7527243, p. 353-356
Huang, Tsung-Ching; Cheng, Tim Kwang-Ting; Beausoleil, Raymond Conference paper
Spatial Pattern Analysis of Process Variations in Silicon Microring Modulators
5th IEEE Photonics Society Optical Interconnects Conference, OI 2016, June 2016, article number 7482978, p. 116-117
Wu, Rui; Chen, Chin-Hui; Huang, Tsung-Ching; Beausoleil, Raymond G.; Cheng, Tim Kwang-Ting Conference paper
Trojans Modifying Soft-Processor Instruction Sequences Embedded in FPGA Bitstreams
FPL 2016 - 26th International Conference on Field-Programmable Logic and Applications, September 2016, article number 7577347, p. 323-326
San, Ismail; Fern, Nicole; Koc, Cetin Kaya; Cheng, Tim Kwang-Ting Conference paper
Variation and Failure Characterization Through Pattern Classification of Test Data From Multiple Test Stages
Proceedings - International Test Conference, v. 0, July 2016, article number 7805845
Hsu, Chun Kai; Sarson, Peter; Schatzberger, Gregor; Leisenberger, Friedrich; Carulli, John; Siddhartha, Siddhartha; Cheng, Tim Kwang-Ting Conference paper

2015 25

A low-Power Variation-Aware Adaptive Write Scheme for Access-Transistor-Free Memristive Memory
ACM Journal on Emerging Technologies in Computing Systems, v. 12, (1), July 2015, article number 3
Ghofrani, Amirali; Lastras-monta?o, Miguel Angel; Gaba, Siddharth; Payvand, Melika; Lu, Wei; Theogarajan, Luke Satish Kumar; Cheng, Tim Kwang-Ting Article
A Power Efficient Test Data Compression Method on Count Compatible PRL Coding
Journal of Circuits, Systems and Computers, v. 24, (6), July 2015, article number 1550084
Yuan, Haiying; Mei, Jiaping; Sun, Xun; Cheng, Tim Kwang-Ting; Guo, Kun Article
Athermal silicon ring resonators clad with titanium dioxide for 1.3μm wavelength operation
Optics Express, v. 23, (20), October 2015, p. 25653-25660
Feng, Shaoqi; Shang, Kuanping; Bovington, Jock T.; Wu, Rui; Guan, Binbin; Cheng, Tim Kwang-Ting; Bowers, John E.; Yoo, S. J. Ben Article
Compact models for carrier-injection silicon microring modulators
Optics Express, v. 23, (12), June 2015, p. 15545-15554
Wu, Rui; Chen, Chin-Hui; Fédéli, Jean Marc; Fournier, Maryse; Cheng, Tim Kwang-Ting; Beausoleil, Raymond G. Article
Design, Automation, and Test for Low-Power and Reliable Flexible Electronics
Foundations and Trends in Electronic Design Automation, v. 9, (2), January 2015, p. 99-210
Huang, Tsung-Ching; Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Article
Mobile Image Search: Challenges and Methods
Mobile Cloud Visual Media Computing: From Interaction to Service / Gang Hua, Xian-Sheng Hua, editors. Switzerland : Springer International Publishing, 2015, p. 243-262
Yang, Xin; Cheng, Tim Kwang-Ting Book chapter
[POSTER] Fusion of Vision and Inertial Sensing for Accurate and Efficient Pose Tracking on Smartphones
Proceedings of the 2015 IEEE International Symposium on Mixed and Augmented Reality, ISMAR 2015 / Institute of Electrical and Electronics Engineers (IEEE). Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 68-71, Article number 7328063
Yang, Xin; Si, Xun; Xue, Tangli; Cheng, Tim Kwang-Ting Conference paper
20 Gb/s Carrier-Injection Silicon Microring Modulator with SPICE-Compatible Dynamic Model
International Conference on Photonics in Switching, PS 2015, November 2015, article number 7328943, p. 31-33
Wu, Rui; Chen, Chin-Hui; Huang, Tsung-Ching; Cheng, Tim Kwang-Ting; Beausoleil, Raymond G. Conference paper
A Configurable CMOS Memory Platform for 3D-Integrated Memristors
Proceedings - IEEE International Symposium on Circuits and Systems, v. 2015-July, July 2015, article number 7168899, p. 1378-1381
Payvand, Melika; Madhavan, Advait; Lastras-monta?o, Miguel Angel; Ghofrani, Amirali; Rofeh, Justin; Cheng, Tim Kwang-Ting; Strukov, Dmitri B.; Theogarajan, Luke Satish Kumar Conference paper
Accurate Vessel Segmentation with Progressive Contrast Enhancement and Canny Refinement
Computer Vision - ACCV 2014: Revised Selected Papers, Part III / Editors: Daniel Cremers, Ian Reid, Hideo Saito, Ming-Hsuan Yang. Cham : Springer International Publishing, 2015, p. 1-16, Book series: Lecture Notes in Computer Science, v. 9005 LNCS
Yang, Xin; Cheng, Tim Kwang-Ting; Chien, Aichi Conference paper
AdaTest: An Efficient Statistical Test Framework for Test Escape Screening
Proceedings - International Test Conference, v. 2015-November, November 2015, article number 7342391
Lin, Fan; Hsu, Chun-Kai; Cheng, Tim Kwang-Ting Conference paper
Approximate Associative Memristive Memory for Energy-Efficient GPUs
Proceedings -Design, Automation and Test in Europe, DATE, v. 2015-April, April 2015, article number 7092626, p. 1497-1501
Rahimi, Abbas Farrokh; Ghofrani, Amirali; Cheng, Tim Kwang-Ting; Benini, Luca; Gupta, Rajesh Kumar C. Conference paper
Architecting energy efficient crossbar-based memristive random-access memories
Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2015, August 2015, article number 7180575, p. 1-6
Lastras-monta?o, Miguel Angel; Ghofrani, Amirali; Cheng, Tim Kwang-Ting Conference paper
Compact Modeling and System Implications of Microring Modulators in Nanophotonic Interconnects
2015 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP), v. 2015-July, July 2015, article number 7171708
Wu, Rui; Chen, Chin-Hui; Fédéli, Jean Marc; Fournier, Maryse; Beausoleil, Raymond G.; Cheng, Tim Kwang-Ting Conference paper
DWDM Nanophotonic Interconnects: Toward Terabit/s Chip-Scale Serial Link
Midwest Symposium on Circuits and Systems, v. 2015-September, September 2015, article number 7282211
Huang, Tsung-Ching; Li, Cheng; Wu, Rui; Chen, Chin-Hui; Fiorentino, Marco; Cheng, Tim Kwang-Ting; Palermo, Samuel M.; Beausoleil, Raymond G. Conference paper
Feature Engineering With Canonical Analysis for Effective Statistical Tests Screening Test Escapes
Proceedings - International Test Conference, v. 2015-February, Feburary 2015, article number 7035344
Lin, Fan; Hsu, Chun-Kai; Cheng, Tim Kwang-Ting Conference paper
Hardware Trojan detection using exhaustive testing of k-bit subspaces
20th Asia and South Pacific Design Automation Conference (ASP-DAC 2015), March 2015, article number 7059101, p. 755-760
Lesperance, Nicole; Kulkarni, Shrikant; Cheng, Tim Kwang-Ting Conference paper
Hardware Trojans Hidden in RTL Don’t Cares – Automated Insertion and Prevention Methodologies
Proceedings - International Test Conference, v. 2015-November, November 2015, article number 7342387
Fern, Nicole; Kulkarni, Shrikant; Cheng, Tim Kwang-Ting Conference paper
HReRAM: A Hybrid Reconfigurable Resistive Random-Access Memory
Proceedings of Design, Automation and Test in Europe, DATE, v. 2015-April, April 2015, article number 7092593, p. 1299-1304, Category number CFP15162-ART; Code 115713
Lastras-monta?o, Miguel Angel; Ghofrani, Amirali; Cheng, Tim Kwang-Ting Conference paper
Leveraging Nonvolatility for Architecture Design with Emerging NVM
2015 IEEE Non-Volatile Memory Systems and Applications Symposium, NVMSA 2015, October 2015, article number 7304356
Li, Shuangchen; Chi, Ping; Zhao, Jishen; Cheng, Tim Kwang-Ting; Xie, Yuan Conference paper
Standard 12-lead ECG Synthesis Using a GA Optimized BP Neural Network
2015 7th International Conference on Advanced Computational Intelligence, ICACI 2015 / Institute of Electrical and Electronics Engineers (IEEE). Institute of Electrical and Electronics Engineers (IEEE), 2015, p. 289-293
Chen, Fangjian; Pan, Yun; Li, Ke; Cheng, Tim Kwang-Ting; Huan, Ruohong Conference paper
Toward large-scale access-transistor-free memristive crossbars
20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015, March 2015, article number 7059067, p. 563-568, Category number CFP15ASP-ART; Code 111574
Ghofrani, Amirali; Lastras-monta?o, Miguel Angel; Cheng, Tim Kwang-Ting Conference paper
Variation-Aware Adaptive Tuning for Nanophotonic Interconnects
2015 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015, November 2015, article number 7372609, p. 487-493
Wu, Rui; Chen, Chin-Hui; Li, Cheng; Huang, Tsung-Ching; Lan, Fan; Zhang, Chong; Pan, Yun; Bowers, John E.; Beausoleil, Raymond G.; Cheng, Tim Kwang-Ting Conference paper
Vertical Integration of Memristors onto Foundry CMOS Dies using Wafer-Scale Integration
Proceedings - Electronic Components and Technology Conference, v. 2015-July, July 2015, article number 7159710, p. 957-962
Rofeh, Justin; Sodhi, Avantika; Payvand, Melika; Lastras-monta?o, Miguel Angel; Ghofrani, Amirali; Madhavan, Advait; Yemenicioglu, Sukru; Cheng, Tim Kwang-Ting; Theogarajan, Luke Satish Kumar Conference paper
Vision-inertial Hybrid Tracking for Robust and Efficient Augmented Reality on Smartphones
MM 2015 - Proceedings of the 2015 ACM Multimedia Conference / Association for Computing Machinery. New York, NY, USA : Association for Computing Machinery, 2015, p. 1039-1042
Yang, Xin; Si, Xun; Xue, Tangli; Zhang, Liheng; Cheng, Tim Kwang-Ting Conference paper

2014 11

Compact Test Generation With an Influence Input Measure for Launch-On-Capture Transition Fault Testing
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (9), September 2014, article number 6609109, p. 1968-1979
Xiang, Dong; Sui, Wenjie; Yin, Boxue; Cheng, Tim Kwang-Ting Article
Learning Optimized Local Difference Binaries for Scalable Augmented Reality on Mobile Devices
IEEE Transactions on Visualization and Computer Graphics, v. 20, (6), June 2014, article number 6671918, p. 852-865
Yang, Xin; Cheng, Tim Kwang-Ting Article
Local Difference Binary for Ultrafast and Distinctive Feature Description
IEEE Transactions on Pattern Analysis and Machine Intelligence, v. 36, (1), January 2014, article number 6579616, p. 188-194
Yang, Xin; Cheng, Tim Kwang-Ting Article
Test-Quality Optimization for Variable n-Detections of Transition Faults
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 22, (8), August 2014, article number 6587310, p. 1738-1749
Xu, Dawen; Li, Huawei; Ghofrani, Amirali; Cheng, Tim Kwang-Ting; Han, Yinhe; Li, Xiaowei Article
Thermal stress implications in athermal TiO2 waveguides on a silicon substrate
Optics Express, v. 22, (1), January 2014, p. 661-666
Bovington, Jock T.; Wu, Rui; Cheng, Tim Kwang-Ting; Bowers, John E. Article
Athermal Characteristics of TiO2-Clad Silicon Waveguides at 1.3μm
2014 IEEE Photonics Conference, IPC 2014, December 2014, article number 6995239, p. 116-117
Feng, Shaoqi; Shang, Kuanping; Bovington, Jock T.; Wu, Rui; Cheng, Tim Kwang-Ting; Bowers, John E.; Yoo, S. J. Ben Conference paper
Energy-efficient GPGPU Architectures via Collaborative Compilation and Memristive Memory-based Computing
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2014, p. 1-6, Article number 2593132
Rahimi, Abbas Farrokh; Ghofrani, Amirali; Angel, Miguel; Cheng, Tim Kwang-Ting; Benini, Luca; Gupta, Rajesh Kumar C. Conference paper
Geodesic Active Contours with Adaptive Configuration for Cerebral Vessel and Aneurysm Segmentation
Proceedings - International Conference on Pattern Recognition, December 2014, article number 6977265, p. 3209-3214
Yang, Xin; Cheng, Tim Kwang-Ting; Chien, Aichi Conference paper
Joint Virtual Probe: Joint Exploration of Multiple Test Items' Spatial Patterns for Efficient Silicon Characterization and Test Prediction
Proceedings -Design, Automation and Test in Europe, DATE, March 2014, article number 6800441
Zhang, Shuangyue; Lin, Fan; Hsu, Chun-Kai; Cheng, Tim Kwang-Ting; Wang, Hong Conference paper
Learning from Production Test Data: Correlation Exploration and Feature Engineering
Proceedings of the Asian Test Symposium, December 2014, article number 6979106, p. 236-241
Lin, Fan; Hsu, Chun-Kai; Cheng, Tim Kwang-Ting Conference paper
Libldb: A library for extracting ultrafast and distinctive binary feature description
MM 2014 - Proceedings of the 2014 ACM Conference on Multimedia / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2014, p. 671-674
Yang, Xin; Huang, Chong; Cheng, Tim Kwang-Ting Conference paper

2013 8

Low-Cost Error Tolerance Scheme for 3-D CMOS Imagers
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 21, (3), March 2013, article number 6186837, p. 465-474
Chang, Hsiu Ming Sherman; Huang, Jiun-Lang; Kwai, Dingming; Cheng, Tim Kwang-Ting; Wu, Chengwen Article
Architecting Low Power Crossbar-Based Memristive RAM
4th Non-Volatile Memory Workshop, University of California, San Diego, USA, 3-5 March 2013
Lastras-monta?o, Miguel Angel; Ghofrani, Amirali; Cheng, Tim Kwang-Ting Conference paper
Digital-to-analog and Analog-to-digital Conversion with Metal Oxide Memristors for Ultra-low Power Computing
Proceedings of the 2013 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2013, 2013, article number 6623031, p. 19-22
Gao, Ligang; Merrikh-bayat, Farnood; Alibart, Fabien; Guo, Xinjie; Hoskins, Brian D.; Cheng, Tim Kwang-Ting; Strukov, Dmitri B. Conference paper
Mutation Analysis with Coverage Discounting
Proceedings -Design, Automation and Test in Europe, DATE, 2013, article number 6513467, p. 31-34
Lisherness, Peter; Lesperance, Nicole; Cheng, Tim Kwang-Ting Conference paper
Performance Optimization of Vision Apps on Mobile Application Processor
International Conference on Systems, Signals, and Image Processing, 2013, article number 6623485, p. 187-191
Cheng, Tim Kwang-Ting; Yang, Xin; Wang, Yichu Conference paper
Role of Thermal Stress in Athermal Waveguide Design Using TiO2 Waveguides on a Silicon Substrate
2013 IEEE Photonics Conference, IPC 2013, 2013, article number 6656513, p. 219-220
Bovington, Jock T.; Wu, Rui; Cheng, Tim Kwang-Ting; Bowers, John E. Conference paper
Test Data Analytics - Exploring Spatial and Test-item Correlations in Production Test Data
Proceedings - International Test Conference, 2013, article number 6651900
Hsu, Chun-Kai; Lin, Fan; Cheng, Tim Kwang-Ting; Zhang, Wangyang; Li, Xin; Carulli, John M.; Butler, Kenneth M. Conference paper
Towards Data Reliable Crossbar-based Memristive Memories
Proceedings - International Test Conference, 2013, article number 6651928
Ghofrani, Amirali; Lastras-monta?o, Miguel Angel; Cheng, Tim Kwang-Ting Conference paper

2012 13

Power-Efficient Calibration and Reconfiguration for Optical Network-on-Chip
Journal of Optical Communications and Networking, v. 4, (12), December 2012, article number 6392500, p. 955-966
Zheng, Yan; Lisherness, Peter; Gao, Ming; Bovington, Jock T.; Cheng, Tim Kwang-Ting; Wang, Hong; Yang, Shiyuan Article
3D CMOS-memristor hybrid circuits: devices, integration, architecture, and applications
Proceedings of the International Symposium on Physical Design / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2012, p. 33-40
Cheng, Tim Kwang-Ting; Strukov, Dmitri B. Conference paper
Accelerating SURF detector on mobile devices
MM 2012 - Proceedings of the 20th ACM International Conference on Multimedia / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2012, p. 569-578
Yang, Xin; Cheng, Tim Kwang-Ting Conference paper
Adaptive test selection for post-silicon timing validation: A data mining approach
Proceedings - International Test Conference, 2012, article number 6401540
Gao, Ming; Lisherness, Peter; Cheng, Tim Kwang-Ting Conference paper
Comprehensive online defect diagnosis in on-chip networks
Proceedings of the IEEE VLSI Test Symposium, 2012, article number 6231078, p. 44-49
Ghofrani, Amirali; Parikh, Ritesh; Shamshiri, Saeed; Deorio, Andrew; Cheng, Tim Kwang-Ting; Bertacco, Valeria M. Conference paper
Energy and performance characterization of mobile heterogeneous computing
IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation, 2012, article number 6363274, p. 312-317
Wang, Yichu; Cheng, Tim Kwang-Ting Conference paper
Energy-Aware Real-Time Face Recognition System on Mobile CPU-GPU Platform
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 6554 LNCS, (PART 2), 2012, p. 411-422
Wang, Yichu; Donyanavard, Bryan; Cheng, Tim Kwang-Ting Conference paper
Improving Validation Coverage Metrics to Account for Limited Observability
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2012, article number 6164962, p. 292-297
Lisherness, Peter; Cheng, Tim Kwang-Ting Conference paper
LDB: An ultra-fast feature for scalable augmented reality on mobile devices
ISMAR 2012 - 11th IEEE International Symposium on Mixed and Augmented Reality 2012, Science and Technology Papers, 2012, article number 6402537, p. 49-57
Yang, Xin; Cheng, Tim Kwang-Ting Conference paper
On Error Modeling of Electrical Bugs for Post-Silicon Timing Validation
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2012, article number 6165046, p. 701-706
Gao, Ming; Lisherness, Peter; Cheng, Tim Kwang-Ting; Liou, Jingjia Conference paper
Platform characterization for domain-specific computing
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, January 2012, article number 6165071, p. 94-99
Bui, Alex A.T.; Cheng, Tim Kwang-Ting; Cong, Jason; Vese, Luminita A.; Wang, Yichu; Yuan, Bo; Zou, Yi Conference paper
Post-fabrication reconfiguration for power-optimized tuning of optically connected multi-core systems
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2012, article number 6165030, p. 615-620
Zheng, Yan; Lisherness, Peter; Shamshiri, Saeed; Ghofrani, Amirali; Yang, Shiyuan; Cheng, Tim Kwang-Ting Conference paper
Power-Efficient Calibration and Reconfiguration for On-Chip Optical Communication
Proceedings -Design, Automation and Test in Europe, DATE, 2012, article number 6176711, p. 1501-1506
Zheng, Yan; Lisherness, Peter; Gao, Ming; Bovington, Jock T.; Yang, Shiyuan; Cheng, Tim Kwang-Ting Conference paper

2011 21

A Promising Alternative to Conventional Silicon
IEEE Design and Test of Computers, v. 28, (6), Nov 2011, Article number 6123677, p. 6
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Article
Fast Visual Retrieval Using Accelerated Sequence Matching
IEEE Transactions on Multimedia, v. 13, (2), April 2011, article number 5643930, p. 320-329
Yeh, Meichen; Cheng, Tim Kwang-Ting Article
Modeling Yield, Cost, and Quality of a Spare-Enhanced Multicore Chip
IEEE Transactions on Computers, v. 60, (9), September 2011, article number 5710882, p. 1246-1259
Shamshiri, Saeed; Cheng, Tim Kwang-Ting Article
Organic Pseudo-CMOS Circuits for Low-Voltage Large-Gain High-Speed Operation
IEEE Electron Device Letters, v. 32, (10), October 2011, article number 5978175, p. 1448-1450
Fukuda, Kenjiro; Sekitani, Tsuyoshi; Yokota, Tomoyuki; Kuribara, Kazunori; Huang, Tsung-Ching; Sakurai, Takayasu; Zschieschang, Ute; Klauk, Hagen; Ikeda, Masaaki; Kuwabara, Hirokazu; Yamamoto, Tatsuya; Takimiya, Kazuo; Cheng, Tim Kwang-Ting; Someya, Takao Article
Pseudo-CMOS: A Design Style for Low-Cost and Robust Flexible Electronics
IEEE Transactions on Electron Devices, v. 58, (1), January 2011, article number 5640660, p. 141-150
Huang, Tsung-Ching; Fukuda, Kenjiro; Lo, Chun Ming; Yeh, Yung-Hui; Sekitani, Tsuyoshi; Someya, Takao; Cheng, Tim Kwang-Ting Article
Robust Circuit Design for Flexible Electronics
IEEE Design and Test of Computers, v. 28, (6), November-December 2011, article number 5928308, p. 8-15
Huang, Tsung-Ching; Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Article
Tester-Assisted Calibration and Screening for Digitally-Calibrated ADCs
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 58, (12), December 2011, article number 5954140, p. 2838-2848
Chang, Hsiu Ming Sherman; Lin, Kuanyu; Cheng, Tim Kwang-Ting Article
Time-Multiplexed Online Checking
IEEE Transactions on Computers, v. 60, (9), September 2011, article number 5710883, p. 1300-1312
Gao, Ming; Chang, Hsiu Ming Sherman; Lisherness, Peter; Cheng, Tim Kwang-Ting Article
An all-digital built-in self-test technique for transfer function characterization of RF PLLs
Proceedings -Design, Automation and Test in Europe, DATE, 2011, article number 5763063, p. 359-364
Wang, Pingying; Chang, Hsiu Ming Sherman; Cheng, Tim Kwang-Ting Conference paper
Coverage discounting: A generalized approach for testbench qualification
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, 2011, article number 6114165, p. 49-56
Lisherness, Peter; Cheng, Tim Kwang-Ting Conference paper
End-to-End Error Correction and Online Diagnosis for On-Chip Networks
Proceedings - International Test Conference, 2011, article number 6139156
Shamshiri, Saeed; Ghofrani, Amirali; Cheng, Tim Kwang-Ting Conference paper
Energy-optimized mapping of application to smartphone platform—a case study of mobile face recognition
IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops, 2011, article number 5981820, p. 84-89
Wang, Yichu; Cheng, Tim Kwang-Ting Conference paper
GPU-accelerated fault simulation and its new applications
Proceedings of 2011 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2011, 2011, article number 5783576, p. 58-61
Li, Huawei; Xu, Dawen; Cheng, Tim Kwang-Ting Conference paper
Image Quality Aware Metrics for Performance Specification of ADC Array in 3D CMOS Imagers
DAC '11: Proceedings of the 48th Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2011, p. 759-764, Article number 5981996
Chang, Hsiu Ming Sherman; Cheng, Tim Kwang-Ting Conference paper
Image-Quality-Driven Metrics for Testing and Calibrating ADC Array in CMOS Imagers: A First Step
Proceedings - 2011 IEEE 17th International Mixed-Signals, Sensors and Systems Test Workshop, IMS3TW 2011, 2011, article number 6132732, p. 29-32
Chang, Hsiu Ming Sherman; Cheng, Tim Kwang-Ting; Huang, Jiun-Lang Conference paper
Large-scale EMM identification based on geometry-constrained visual word correspondence voting
Proceedings of the 1st ACM International Conference on Multimedia Retrieval, ICMR'11 / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2011, p. 1-9, Article No.: 35
Yang, Xin; Liu, Qiong; Liao, Chunyuan; Cheng, Tim Kwang-Ting; Girgensohn, Andreas Conference paper
Minimum Correspondence Sets for improving large-scale Augmented Paper
Proceedings of VRCAI 2011: ACM SIGGRAPH Conference on Virtual-Reality Continuum and its Applications to Industry / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2011, p. 59-68
Yang, Xin; Liao, Chunyuan; Liu, Qiong; Cheng, Tim Kwang-Ting Conference paper
Organic Pseudo-CMOS for 2V Operational High-Speed Circuits

Fukuda, Kenjiro; Huang, Tsung-Ching; Kuribara, Kazunori; Yokota, Tomoyuki; Sekitani, Tsuyoshi; Zschieschang, Ute; Klauk, Hagen; Ikeda, Masaaki; Kuwabara, Hirokazu; Yamamoto, Tatsuo; Takimiya, Kazuo; Cheng, Tim Kwang-Ting; Someya, Takao Conference paper
Post-silicon Bug Detection for Variation Induced Electrical Bugs
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2011, article number 5722197, p. 273-278
Gao, Ming; Lisherness, Peter; Cheng, Tim Kwang-Ting Conference paper
Test cost reduction through performance prediction using virtual probe
Proceedings - International Test Conference, 2011, article number 6139129
Chang, Hsiu Ming Sherman; Cheng, Tim Kwang-Ting; Zhang, Wangyang; Li, Xin; Butler, Kenneth M. Conference paper
Using mobile GPU for general-purpose computing–a case study of face recognition on smartphones
Proceedings of 2011 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2011, 2011, article number 5783575, p. 54-57
Cheng, Tim Kwang-Ting; Wang, Yichu Conference paper

2010 26

Calibration and Test Time Reduction Techniques for Digitally-Calibrated Designs: an ADC Case Study
Journal of Electronic Testing: Theory and Applications, v. 26, (1), February 2010, p. 59-71
Chang, Hsiu Ming Sherman; Lin, Kuanyu; Cheng, Tim Kwang-Ting Article
Recent Advances in Analog, Mixed-Signal, and RF Testing
IPSJ Transactions on System LSI Design Methodology, v. 3, 2010, p. 19-46
Cheng, Tim Kwang-Ting; Chang, Hsiu Ming Sherman Article
Efficient Test Methodologies for High-Speed Serial Links
Efficient Test Methodologies for High-Speed Serial Links / By Dongwoo Hong, Kwang-Ting Cheng. Netherlands: Springer, 2010. Lecture Notes in Electrical Engineering, v. 51.
Hong, Dongwoo; Cheng, Tim Kwang-Ting Book
A Two-Tone Test Method for Continuous-Time Adaptive Equalizers
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 75-87, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting Book chapter
An Accurate Jitter Estimation Technique
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 65-73, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting Book chapter
An Efficient Jitter Measurement Technique
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 7-18, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting Book chapter
BER Estimation for Linear Clock and Data Recovery Circuit
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 19-40, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting Book chapter
BER Estimation for Non-Linear Clock and Data Recovery Circuit
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 41-51, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting Book chapter
Gaps in Timing Margining Test
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 53-64, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting Book chapter
Introduction
Efficient Test Methodologies for High-Speed Serial Links / Dongwoo Hong, Kwang-Ting Cheng. Dordrecht : Springer, 2010, p. 1-5, Book series: Lecture Notes in Electrical Engineering, v. 51
Hong, Dongwoo; Cheng, Tim Kwang-Ting Book chapter
A case study of time-multiplexed assertion checking for post-silicon debugging
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, 2010, article number 5496657, p. 90-96
Gao, Ming; Cheng, Tim Kwang-Ting Conference paper
A GPU-accelerated face annotation system for smartphones
MM'10 - Proceedings of the ACM Multimedia 2010 International Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2010, p. 1667-1668
Wang, Yichu; Pang, Sydney; Cheng, Tim Kwang-Ting Conference paper
A Portable Multi-pitch e-Drum Based on Printed Flexible Pressure Sensors
Proceedings -Design, Automation and Test in Europe, DATE, 2010, article number 5456970, p. 1082-1087
Lo, Chun Ming; Huang, Tsung-Ching; Chiang, Chengyi; Hou, Johnson; Cheng, Tim Kwang-Ting Conference paper
An Automatic Test Generation Framework for Digitally-assisted Adaptive Equalizers in High-speed Serial Links
Proceedings -Design, Automation and Test in Europe, DATE, 2010, article number 5457098, p. 1755-1760
Abbas, Mohamed Amir; Cheng, Tim Kwang-Ting; Furukawa, Yasuo; Komatsu, Satoshi; Asada, Kunihiro Conference paper
An Error Tolerance Scheme for 3D CMOS Imagers
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2010, p. 917-922
Chang, Hsiu Ming Sherman; Huang, Jiun-Lang; Kwai, Dingming; Cheng, Tim Kwang-Ting; Wu, Chengwen Conference paper
Calibration-assisted production testing for digitally-calibrated ADCs
Proceedings of the IEEE VLSI Test Symposium, 2010, article number 5469549, p. 295-300
Chang, Hsiu Ming Sherman; Lin, Kuanyu; Cheng, Tim Kwang-Ting Conference paper
Design, analysis, and test of low-power and reliable flexible electronics
Proceedings of the IEEE VLSI Test Symposium, v. 2010-January, 2010, article number 5469610
Cheng, Tim Kwang-Ting; Huang, Tsung-Ching Conference paper
Error-locality-aware linear coding to correct multi-bit upsets in SRAMs
Proceedings - International Test Conference, 2010, article number 5699220
Shamshiri, Saeed; Cheng, Tim Kwang-Ting Conference paper
Innovative practices session 2C: Design, fabrication and test of flexible electronics
Proceedings of the IEEE VLSI Test Symposium, v. 2010-January, 2010, article number 5469613, p. 91-91
Cheng, Tim Kwang-Ting Conference paper
Low-cost quality assurance techniques for high-performance mixed-signal/RF circuits and systems
Proceedings - International Test Conference, 2010, article number 5699287
Chang, Hsiu Ming Sherman; Cheng, Tim Kwang-Ting Conference paper
Mobile image search with multimodal context-aware queries
2010 IEEE Computer Society Conference on Computer Vision and Pattern Recognition - Workshops, CVPRW 2010, 2010, article number 5543246, p. 25-32
Yang, Xin; Pang, Sydney; Cheng, Tim Kwang-Ting Conference paper
Modeling yield, cost, and quality of an NoC with uniformly and non-uniformly distributed redundancy
Proceedings of the IEEE VLSI Test Symposium, 2010, article number 5469579, p. 194-199
Shamshiri, Saeed; Cheng, Tim Kwang-Ting Conference paper
Mutation-based diagnostic test generation for hardware design error diagnosis
Proceedings - International Test Conference, 2010, article number 5699307
Deng, Shujun; Cheng, Tim Kwang-Ting; Bian, Jinian; Kong, Zhiqiu Conference paper
nGFSIM : A GPU-based fault simulator for 1-to-n detection and its applications
Proceedings - International Test Conference, 2010, article number 5699235
Li, Huawei; Xu, Dawen; Han, Yinhe; Cheng, Tim Kwang-Ting; Li, Xiaowei Conference paper
Pseudo-CMOS: A novel design style for flexible electronics
Proceedings -Design, Automation and Test in Europe, DATE, 2010, article number 5457220, p. 154-159
Huang, Tsung-Ching; Fukuda, Kenjiro; Lo, Chun Ming; Yeh, Yung-Hui; Sekitani, Tsuyoshi; Someya, Takao; Cheng, Tim Kwang-Ting Conference paper
SCEMIT: A SystemC error and mutation injection tool
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2010, p. 228-233
Lisherness, Peter; Cheng, Tim Kwang-Ting Conference paper

2009 17

SEChecker: A Sequential Equivalence Checking Framework Based on Kth Invariants
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 17, (6), June 2009, article number 4815387, p. 733-746
Lu, Feng; Cheng, Tim Kwang-Ting Article
What is flexible electronics?
ACM SIGDA Newsletter, v. 39, (4), April 2009
Cheng, Tim Kwang-Ting; Huang, Tsung-Ching Article
Electronic design automation: synthesis, verification, and test
Electronic design automation / Wang, Laungterng, Chang, Yao-Wen, Cheng, Tim, Morgan Kaufmann, 2009
Wang, Laung-Terng; Chang, Yao-Wen; Cheng, Tim Kwang-Ting Book
A built-in self-calibration scheme for pipelined ADCs
Proceedings of the 10th International Symposium on Quality Electronic Design, ISQED 2009, 2009, Article number 4810305, p. 266-271
Chang, Hsiu Ming Sherman; Lin, Kuanyu; Chen, Chinhsuan; Cheng, Tim Kwang-Ting Conference paper
An experimental study on content-based face annotation of photos
IEEE 3rd International Conference on Biometrics: Theory, Applications and Systems, BTAS 2009, 2009, article number 5339084
Yeh, Meichen; Zhang, Sheng; Cheng, Tim Kwang-Ting Conference paper
An Instrumented Observability Coverage Method for System Validation
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, November 2009, article number 5340171, p. 88-93
Lisherness, Peter; Cheng, Tim Kwang-Ting Conference paper
Calibration and testing time reduction techniques for a digitally-calibrated pipelined ADC
Proceedings of the IEEE VLSI Test Symposium, 2009, article number 5116649, p. 291-296
Chang, Hsiu Ming Sherman; Chen, Chinhsuan; Lin, Kuanyu; Cheng, Tim Kwang-Ting Conference paper
Calibration as a functional test: An ADC case study
Proceedings of the Asian Test Symposium, 2009, article number 5359403, p. 85-86
Chang, Hsiu Ming Sherman; Lin, Kuanyu; Cheng, Tim Kwang-Ting Conference paper
Design for low power and reliable flexible electronics: Self-tunable cell-library design
Journal of Display Technology, v. 5, (6), June 2009, article number 4982840, p. 206-2015
Huang, Tsung-Ching; Cheng, Tim Kwang-Ting Conference paper
Dynamic Test Compaction for Transition Faults in Broadside Scan Testing Based on an Influence Cone Measure
Proceedings of the IEEE VLSI Test Symposium, 2009, article number 5116643, p. 251-256
Xiang, Dong; Yin, Boxue; Cheng, Tim Kwang-Ting Conference paper
Low Overhead Time-Multiplexed Online Checking: A Case Study of An H.264 Decoder
Proceedings of the Asian Test Symposium, 2009, article number 5359387, p. 119-124
Gao, Ming; Cheng, Tim Kwang-Ting Conference paper
MyFinder: near-duplicate detection for large image collections
MM'09 - Proceedings of the 2009 ACM Multimedia Conference, with Co-located Workshops and Symposiums / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2009, p. 1013-1014
Yang, Xin; Zhu, Qiang; Cheng, Tim Kwang-Ting Conference paper
Near-duplicate detection for images and videos
1st ACM Workshop on Large-Scale Multimedia Retrieval and Mining, LS-MMRM 2009, Co-located with the 2009 ACM International Conference on Multimedia, MM'09 / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2009, p. 73-80
Yang, Xin; Zhu, Qiang; Cheng, Tim Kwang-Ting Conference paper
TAC: Testing time reduction for digitally-calibrated designs
2009 IEEE 15th International Mixed-Signals, Sensors, and Systems Test Workshop, IMS3TW '09, 2009, article number 5158690
Chang, Hsiu Ming Sherman; Cheng, Tim Kwang-Ting Conference paper
Test strategies for adaptive equalizers
Proceedings of the Custom Integrated Circuits Conference, 2009, article number 5280777, p. 597-604
Cheng, Tim Kwang-Ting; Chang, Hsiu Ming Sherman Conference paper
Video copy detection by fast sequence matching
CIVR 2009 - Proceedings of the ACM International Conference on Image and Video Retrieval / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2009, p. 1-7, Article No.: 45
Yeh, Meichen; Cheng, Tim Kwang-Ting Conference paper
Yield and cost analysis of a reliable NoC
Proceedings of the IEEE VLSI Test Symposium, 2009, article number 5116629, p. 173-178
Shamshiri, Saeed; Cheng, Tim Kwang-Ting Conference paper

2008 15

A 1.25-KS/s 3-bit Flash ADC in A-Si:H TFTs for Flexible Sensors
Symposium digest of technical papers: Flexible Electronics and Displays, 2008, p. 60-61
Cheng, Tim Kwang-Ting; Yeh, Yung-Hui; Huang, Tsung-Ching Article
A Clock-Less Jitter Spectral Analysis Technique
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 55, (8), September 2008, article number 4447691, p. 2263-2272
Ong, Chee-Kian; Hong, Dongwoo; Cheng, Kwang Ting; Wang, Lichung Article
Digitally-Assisted Production Testing of a Digitally Calibrated RF Receiver
Poster, GSRC Annual Symposium, v.29,2008
Cheng, Tim Kwang-Ting; Chang, Hsiu Ming Sherman Article
G.P.11.07 Impairment of the endosomal/lysosomal system may contribute to muscle wasting in Pompe disease by altering the trafficking and processing of membrane stabilizing proteins
Neuromuscular Disorders, v. 18, (9-10), October 2008, p. 801-802
Wustman, B.A.; Voit, T.; Cuervo, A.M.; Massey, A.C.; Bandyopadhyay, Subhajyoti; Powe jr, A.C.; Cheng, Tim Kwang-Ting; Do, H.V.; Lockhart, D.J. Article
Logic Testing
Wiley Encyclopedia of Computer Science and Engineering, 2008
Cheng, Tim Kwang-Ting; Stroud, Charles E.; Wang, Laung-Terng Article
Reliability Analysis for Flexible Electronics: Case Study of Integrated a-Si:H TFT Scan Driver
ACM Journal on Emerging Technologies in Computing Systems, v. 4, (3), August 2008, article number 12
Kung, Chen Pang; Tseng, HuaiYuan; Cheng, Tim Kwang-Ting; Huang, Tsung-Ching Article
Time-Multiplexed Online Checking: Resilient Design for Cost-Sensitive SoCs
Poster, GSRC Annual Symposium, (29), 2008
Gao, Ming; Cheng, Tim Kwang-Ting Article
A cost analysis framework for multi-core systems with spares
Proceedings - International Test Conference, 2008, article number 4700562
Shamshiri, Saeed; Lisherness, Peter; Pan, Sung Jui; Cheng, Tim Kwang-Ting Conference paper
A real-time, embedded face-annotation system
MM'08 - Proceedings of the 2008 ACM International Conference on Multimedia, with co-located Symposium and Workshops / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2008, p. 989-990
Chu, Shih-Wei; Yeh, Meichen; Cheng, Tim Kwang-Ting Conference paper
A string matching approach for visual retrieval and classification
Proceedings of the 1st International ACM Conference on Multimedia Information Retrieval, MIR2008, Co-located with the 2008 ACM International Conference on Multimedia, MM'08 / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2008, p. 52-58
Yeh, Meichen; Cheng, Tim Kwang-Ting Conference paper
Accurate Bit-Error-Rate estimation for efficient high speed I/O testing
IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS, 2008, article number 4746334, p. 1572-1575
Hong, Dongwoo; Cheng, Tim Kwang-Ting Conference paper
Bit-error rate estimation for bang-bang clock and data recovery circuit in high-speed serial links
Proceedings of the IEEE VLSI Test Symposium, 2008, article number 4511690, p. 17-22
Hong, Dongwoo; Cheng, Tim Kwang-Ting Conference paper
Digitally-assisted analog/RF testing for mixed-signal SoCs
Proceedings of the Asian Test Symposium, 2008, article number 4711557, p. 43-48
Chang, Hsiu Ming Sherman; Lin, Min-Sheng; Cheng, Tim Kwang-Ting Conference paper
RTL error diagnosis using a word-level SAT-solver
Proceedings - International Test Conference, 2008, article number 4700568
Mirzaeian, Saeed; Zheng, Feijun; Cheng, Tim Kwang-Ting Conference paper
Time-Multiplexed Online Checking: A Feasibility Study
Proceedings of the Asian Test Symposium, 2008, article number 4711619, p. 371-376
Gao, Ming; Chang, Hsiu Ming Sherman; Lisherness, Peter; Cheng, Tim Kwang-Ting Conference paper

2007 11

Multiple-fault diagnosis based on adaptive diagnostic test pattern generation
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 26, (5), May 2007, article number 8361586, p. 932-942
Lin, Yungchieh; Lu, Feng; Cheng, Tim Kwang-Ting Article
Silicon Debug for Timing Errors
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 26, (11), November 2007, article number 4352014, p. 2084-2088
Yang, Kai; Cheng, Tim Kwang-Ting Article
Summary of Current Activities
Talk or presentation, Joint Concurrent/Resilient Workshop, v. 9, June, 2007
Cheng, Tim Kwang-Ting Article
A framework for system reliability analysis considering both system error tolerance and component test quality
Proceedings -Design, Automation and Test in Europe, DATE, 2007, article number 4212037, p. 1581-1586
Pan, Sung Jui; Cheng, Tim Kwang-Ting Conference paper
A hybrid scheme for compacting test responses with unknown values
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2007, article number 4397316, p. 513-519
Chao, Mango Chia Tso; Cheng, Tim Kwang-Ting; Wang, Seongmoon; Chakradhar, Srimat T.; Wei, Wenlong Conference paper
A two-tone test method for continuous-time adaptive equalizers
Proceedings -Design, Automation and Test in Europe, DATE, 2007, article number 4211984, p. 1283-1288
Hong, Dongwoo; Saberi, Shadi; Cheng, Tim Kwang-Ting; Yue, Patrick Chik Conference paper
An accurate jitter estimation technique for efficient high speed I/O testing
Proceedings of the Asian Test Symposium, 2007, article number 4388017, p. 224-229
Hong, Dongwoo; Cheng, Tim Kwang-Ting Conference paper
An Efficient Diagnostic Test Pattern Generation Framework Using Boolean Satisfiability
Proceedings of the Asian Test Symposium, 2007, article number 4388027, p. 288-294
Zheng, Feijun; Cheng, Tim Kwang-Ting; Yan, Xiaolang; Moondanos, John; Hanna, Ziyad Conference paper
Diagnosing scan chains using SAT-based diagnostic pattern generation
Proceedings - 20th Anniversary IEEE International SOC Conference, 2007, article number 4545473, p. 273-276
Li, Jinfu; Zheng, Feijun; Cheng, Tim Kwang-Ting Conference paper
Reliability analysis for flexible electronics: Case study of integrated a-Si:H TFT scan driver
Proceedings - Design Automation Conference, 2007, article number 4261324, p. 966-969
Huang, Tsung-Ching; Tseng, HuaiYuan; Kung, Chen Pang; Cheng, Tim Kwnag-Ting Conference paper
Testable Design for Advanced Serial-Link Transceivers
Proceedings -Design, Automation and Test in Europe, DATE, 2007, article number 4211881, p. 695-700
Lin, Mitchell; Cheng, Tim Kwang-Ting Conference paper

2006 24

Annual index
IEEE Transactions on Computers, v. 55, (12), December 2006, article number 1717396, p. tc06-tc06
Cheng, Tim Kwang-Ting Article
Bit-Error-Rate Estimation for High-Speed Serial Links
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 53, (12), December 2006, article number 4026676, p. 2616-2627
Hong, Dongwoo; Ong, Chee-Kian; Cheng, Tim Kwang-Ting Article
Digitally-Assisted Analog Test, Characterization and Tuning for Mixed-Signal Systems
Talk or presentation, GSRC Resilient Theme Workshop, v. 7,Dec, 2006
Cheng, Tim Kwang-Ting Article
Failure Modeling Cluster
Talk or presentation, GSRC Annual Symposium, v. 28, 2006
Cheng, Tim Kwang-Ting Article
New beginnings, continued success
IEEE Design and Test of Computers, v. 23, (1), January-February 2006, article number 1583532, p. 5-6
Cheng, Tim Kwang-Ting Article
Pseudofunctional testing
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 25, (8), August 2006, article number 1637742, p. 1535-1546
Lin, Yungchieh; Lu, Feng; Cheng, Tim Kwang-Ting Article
System-Aware Test and Automatic Diagnosis for Sub-Systems
Talk or presentation, GSRC Quarterly Workshop, San Francisco, v. 23, July, 2006
Cheng, Tim Kwang-Ting Article
The New World of ESL Design
IEEE Design & Test of Computers, v. 23, (5), May 2006, article number 1704722, p. 333-333
Cheng, Tim Kwang-Ting Article
Vision from the Top
IEEE Design and Test of Computers, v. 23, (4), April 2006, article number 1683709, p. 261-261
Cheng, Tim Kwang-Ting Article
Automatic Test Pattern Generation
EDA for IC System Design, Verification, and Testing / Louis Scheffer, Luciano Lavagno, Grant Martin. United States: CRC Press, 2006, p. 22-1 - 22-5
Cheng, Tim Kwang-Ting; Wang, Lichung Book chapter
A Unified Approach to Test Generation and Test Data Volume Reduction
Proceedings - International Test Conference, 2006, article number 4079322
Lin, Yungchieh; Cheng, Tim Kwang-Ting Conference paper
Bit Error Rate Estimation for Improving Jitter Testing of High-Speed Serial Links
Proceedings - International Test Conference, v. 1, October 2006, article number 4079401
Hong, Dongwoo; Cheng, Tim Kwang-Ting Conference paper
Coverage Loss By Using Space Compactors in Presence of Unknown Values
Proceedings -Design, Automation and Test in Europe, DATE, v. 1, 2006, article number 1657047
Chao, Mango Chia Tso; Wang, Seongmoon; Chakradhar, Srimat T.; Wei, Wenlong; Cheng, Tim Kwang-Ting Conference paper
Efficient identification of multi-cycle false path
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2006, 2006, article number 1594709, p. 360-365
Yang, Kai; Cheng, Tim Kwang-Ting Conference paper
Fast Human Detection Using a Cascade of Histograms of Oriented Gradients
Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition, v. 2, 2006, article number 1640933, p. 1491-1498
Zhu, Qiang; Avidan, Shai; Yeh, Meichen; Cheng, Tim Kwang-Ting Conference paper
Generation of shorter sequences for high resolution error diagnosis using sequential SAT
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2006, 2006, article number 1594640, p. 25-29
Pan, Sung Jui; Cheng, Tim Kwang-Ting; Moondanos, John; Hanna, Ziyad Conference paper
IChecker: An Efficient Checker for Inductive Invariants
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, 2006, article number 4110086, p. 176-180
Lu, Feng; Cheng, Tim Kwang-Ting Conference paper
Multimodal fusion using learned text concepts for image categorization
Proceedings of the 14th Annual ACM International Conference on Multimedia, MM 2006 / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2006, p. 211-220
Zhu, Qiang; Yeh, Meichen; Cheng, Tim Kwang-Ting Conference paper
Multiple-Fault Diagnosis Based on Single-Fault Activation and Single-Output Observation
Proceedings -Design, Automation and Test in Europe, DATE, v. 1, 2006, article number 1656918
Lin, Yungchieh; Cheng, Tim Kwang-Ting Conference paper
On usage models of content-based image search, filtering, and annotation
Proceedings of SPIE - The International Society for Optical Engineering, v. 6061, 2006, article number 606102
Telleen-lawton, David; Chang, Edward Yi; Cheng, Tim Kwang-Ting; Chang, Chengwei Conference paper
Simulation-Based Functional Test Generation for Embedded Processors
IEEE Transactions on Computers, v. 55, (11), November 2006, article number 1705443, p. 1335-1343
Wen, Charles Hungpin; Wang, Lichung; Cheng, Tim Kwang-Ting Conference paper
Testable Design for Adaptive Linear Equalizer in High-Speed Serial Links
Proceedings - International Test Conference, October 2006, article number 4079376
Lin, Mitchell; Cheng, Tim Kwang-Ting Conference paper
Timing-reasoning-based delay fault diagnosis
Proceedings -Design, Automation and Test in Europe, DATE, v. 1, 2006, article number 1656917
Yang, Kai; Cheng, Tim Kwang-Ting Conference paper
Unknown-tolerance analysis and test-quality control for test response compaction using space compactors
DAC '06: Proceedings of the 43rd annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2006, p. 1083-1088
Chao, Mango Chia Tso; Cheng, Tim Kwang-Ting; Wang, Seongmoon; Chakradhar, Srimat T.; Wei, Wenlong Conference paper

2005 19

Using 2-domain partitioned OBDD data structure in an enhanced symbolic simulator
ACM Transactions on Design Automation of Electronic Systems, v. 10, (4), October 2005, p. 627-650
Feng, Tao; Wang, Lichung; Cheng, Tim Kwang-Ting; Lin, Chih-Chang Article
Embedded Software-Based Self-Testing for SoC Design
Embedded Systems Handbook / Richard Zurawski. United States: CRC Press, 2005, p. 28-1 - 28-19
Cheng, Tim Kwang-Ting Book chapter
Accurate diagnosis of multiple faults
Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors, v. 2005, 2005, article number 1524146, p. 153-156
Lin, Yungchieh; Lu, Feng; Cheng, Tim Kwang-Ting Conference paper
An efficient sequential SAT solver with improved search strategies
Proceedings -Design, Automation and Test in Europe, DATE '05, v. II, 2005, article number 1395740, p. 1102-1107
Lu, Feng; Iyer, Madhu K.; Parthasarathy, Ganapathy; Wang, Lichung; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien Conference paper
ChiYun compact: a novel test compaction technique for responses with unknown values
Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors, v. 2005, 2005, article number 1524145, p. 147-152
Chao, Mango Chia Tso; Wang, Seongmoon; Chakradhar, Srimat T.; Cheng, Tim Kwang-Ting Conference paper
Constraint extraction for pseudo-functional scan-based delay testing
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 1, 2005, article number 1466151, p. 166-171
Lin, Yungchieh; Lu, Feng; Yang, Kai; Cheng, Tim Kwang-Ting Conference paper
Efficient conflict-based learning in an RTL circuit constraint solver
Proceedings -Design, Automation and Test in Europe, DATE '05, v. II, 2005, article number 1395651, p. 666-671
Iyer, Madhu K.; Parthasarathy, Ganapathy; Cheng, Tim Kwang-Ting Conference paper
Learning a sparse, corner-based representation for time-varying background modelling
Proceedings of the IEEE International Conference on Computer Vision, v. I, 2005, article number 1541319, p. 678-685
Zhu, Qiang; Avidan, Shai; Cheng, Tim Kwang-Ting Conference paper
On a software-based self-test methodology and its application
Proceedings of the IEEE VLSI Test Symposium, 2005, article number 1443407, p. 107-113
Wen, Charles Hungpin; Wang, Lichung; Cheng, Tim Kwang-Ting; Yang, Kai; Liu, Weiting; Chen, Jijan Conference paper
On statistical correlation based path selection for timing validation
2005 IEEE VLSI-TSA International Symposium on VLSI Design, Automation and Test (VLSI-TSA-DAT), v. 2005, 2005, article number 1500006, p. 8-11
Yang, Kai; Wang, Lichung; Cheng, Tim Kwang-Ting; Kundu, Sandip Conference paper
Production-oriented interface testing for PCI-Express by enhanced loop-back technique
Proceedings - International Test Conference, v. 2005, 2005, article number 1584028, p. 661-670
Lin, Mitchell; Cheng, Tim Kwang-Ting; Hsu, Jimmy; Sun, M.C.; Chen, Jason; Lu, Shelton Conference paper
Pseudo-functional scan-based BIST for delay fault
Proceedings of the IEEE VLSI Test Symposium, 2005, article number 1443428, p. 229-234
Lin, Yungchieh; Lu, Feng; Cheng, Tim Kwang-Ting Conference paper
Response shaper: a novel technique to enhance unknown tolerance for output response compaction
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, v. 2005, 2005, article number 1560044, p. 80-87
Chao, Mango Chia Tso; Wang, Seongmoon; Chakradhar, Srimat T.; Cheng, Tim Kwang-Ting Conference paper
RTL SAT simplification by boolean and interval arithmetic reasoning
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, v. 2005, 2005, article number 1560082, p. 297-302
Parthasarathy, Ganapathy; Iyer, Madhu K.; Cheng, Tim Kwang-Ting; Brewer, Forrest D. Conference paper
Sequential equivalence checking based on K-th invariants and circuit SAT solving
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, v. 2005, 2005, article number 1568812, p. 45-51
Lu, Feng; Cheng, Tim Kwang-Ting Conference paper
Simulation-based functional test generation for embedded processors
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, v. 2005, 2005, article number 1568806, p. 3-10
Wen, Charles Hungpin; Wang, Lichung; Cheng, Tim Kwang-Ting Conference paper
Simulation-based target test generation techniques for improving the robustness of a software-based-self-test methodology
Proceedings - International Test Conference, v. 2005, 2005, article number 1584059, p. 936-945
Wen, Charles Hungpin; Wang, Lichung; Cheng, Tim Kwang-Ting; Liu, Weiting; Chen, Jijan Conference paper
Structural search for RTL with predicate learning
Proceedings of the 42nd annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2005, p. 451-456
Parthasarathy, Ganapathy; Iyer, Madhu K.; Cheng, Tim Kwang-Ting; Brewer, Forrest D. Conference paper
Using visual features for anti-spam filtering
Proceedings - International Conference on Image Processing, ICIP, v. 3, 2005, article number 1530440, p. 509-512
Wu, Chingtung; Cheng, Tim Kwang-Ting; Zhu, Qiang; Wu, Yileh Conference paper

2004 25

A new sigma-delta modulator architecture for testing using digital stimulus
IEEE Transactions on Circuits and Systems I: Regular Papers, v. 51, (1), January 2004, article number 1259506, p. 206-213
Ong, Chee-Kian; Cheng, Tim Kwang-Ting; Wang, Lichung Article
A signal correlation guided circuit-SAT solver
Journal of Universal Computer Science, v. 10, (12), 2004, p. 1629-1654
Lu, Feng; Wang, Lichung; Cheng, Tim Kwang-Ting; Moondanos, John; Hanna, Ziyad Article
Critical path selection for delay fault testing based upon a statistical timing model
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 23, (11), November 2004, article number 1350881, p. 1550-1565
Wang, Lichung; Liou, Jingjia; Cheng, Tim Kwang-Ting Article
New challenges in delay testing of nanometer, multigigahertz designs
IEEE Design and Test of Computers, v. 21, (3), May-June 2004, article number 1302090, p. 241-248
Mak, T.M.; Krstic, Angela; Cheng, Tim Kwang-Ting; Wang, Lichung Article
Safety property verification using sequential SAT and bounded model checking
IEEE Design and Test of Computers, v. 21, (2), March-April 2004, article number 1277906, p. 132-143
Parthasarathy, Ganapathy; Iyer, Madhu K.; Cheng, Tim Kwang-Ting; Wang, Lichung Article
Self-referential verification for gate-level implementations of arithmetic circuits
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 23, (7), July 2004, article number 1308403, p. 1102-1112
Chang, Ying Tsai; Cheng, Tim Kwang-Ting Article
A path-based methodology for post-silicon timing validation
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2004, article number 1382669, p. 713-720
Lee, Leonard; Wang, Lichung; Mak, T.M.; Cheng, Tim Kwang-Ting Conference paper
A scalable on-chip jitter extraction technique
Proceedings of the IEEE VLSI Test Symposium, 2004, article number 1299253, p. 267-272
Ong, Chee-Kian; Hong, Dongwoo; Cheng, Tim Kwang-Ting; Wang, Lichung Conference paper
A unified adaptive approach to accurate skin detection
Proceedings - International Conference on Image Processing, ICIP, v. 5, 2004, article number 1419517, p. 1189-1192
Zhu, Qiang; Cheng, Tim Kwang-Ting; Wu, Chingtung Conference paper
A Σ-Δ modulation based analog BIST system with a wide bandwidth fifth-order analog response extractor for diagnosis purpose
Proceedings of the Asian Test Symposium, 2004, article number 1376537, p. 62-67
Hong, Haochiao; Wu, Chengwen; Cheng, Tim Kwang-Ting Conference paper
Adaptive learning of an accurate skin-color model
Proceedings - Sixth IEEE International Conference on Automatic Face and Gesture Recognition, 2004, article number 1301506, p. 37-42
Zhu, Qiang; Cheng, Tim Kwang-Ting; Wu, Chingtung; Wu, Yileh Conference paper
An adaptive skin model and its application to objectionable image filtering
ACM Multimedia 2004 - proceedings of the 12th ACM International Conference on Multimedia / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2004, p. 56-63
Zhu, Qiang; Wu, Chingtung; Cheng, Tim Kwang-Ting; Wu, Yileh Conference paper
An efficient finite-domain constraint solver for circuits
Proceedings of the 41st annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2004, p. 212-217
Parthasarathy, Ganapathy; Iyer, Madhu K.; Cheng, Tim Kwang-Ting; Wang, Lichung Conference paper
BER estimation for serial links based on jitter spectrum and clock recovery characteristics
Proceedings - International Test Conference, 2004, article number 1387388, p. 1138-1147
Hong, Dongwoo; Ong, Chee-Kian; Cheng, Tim Kwang-Ting Conference paper
Efficient reachability checking using sequential SAT
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, article number 1337611, p. 418-423
Parthasarathy, Ganapathy; Iyer, Madhu K.; Cheng, Tim Kwang-Ting; Wang, Lichung Conference paper
Improved symbolic simulation by functional-space decomposition
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, article number 1337669, p. 634-639
Feng, Tao; Wang, Lichung; Cheng, Tim Kwang-Ting Conference paper
Improved symoblic simulation by dynamic funtional space partitioning
Proceedings - Design, Automation and Test in Europe Conference and Exhibition, v. 1, 2004, article number 1268825, p. 42-47
Feng, Tao; Wang, Lichung; Cheng, Tim Kwang-Ting; Lin, Chih-Chang Conference paper
Jitter spectral extraction for multi-gigahertz signal
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, p. 298-303
Ong, Chee-Kian; Hong, Dongwoo; Cheng, Tim Kwang-Ting; Wang, Lichung Conference paper
On path-based learning and its applications in delay test and diagnosis
Proceedings of the 41st annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2004, p. 492-497
Wang, Lichung; Mak, T.M.; Cheng, Tim Kwang-Ting; Abadir, Magdy S. Conference paper
On using a 2-domain partitioned OBDD data structure in verification
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, 2004, article number 1431234, p. 49-54
Feng, Tao; Wang, Lichung; Cheng, Tim Kwang-Ting; Lin, Chih-Chang Conference paper
Pattern selection for testing of deep sub-micron timing defects
Proceedings - Design, Automation and Test in Europe Conference and Exhibition, v. 2, 2004, article number 1269033, p. 1060-1065
Chao, Mango Chia Tso; Wang, Lichung; Cheng, Tim Kwang-Ting Conference paper
Random jitter extraction technique in a multi-gigahertz signal
Proceedings - Design, Automation and Test in Europe Conference and Exhibition, v. 1, 2004, article number 1268862, p. 286-291
Ong, Chee-Kian; Hong, Dongwoo; Cheng, Tim Kwang-Ting; Wang, Lichung Conference paper
SSD tracking using dynamic template and Log-polar transformation
2004 IEEE International Conference on Multimedia and Expo (ICME), v. 1, 2004, article number 1394294, p. 723-726
Zhu, Qiang; Cheng, Tim Kwang-Ting; Zhang, Hongjiang Conference paper
Static statistical timing analysis for latch-based pipeline designs
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2004, article number 1382622, p. 468-472
Chao, Mango Chia Tso; Wang, Lichung; Cheng, Tim Kwang-Ting; Kundu, Sandip Conference paper
TranGen: A SAT-based ATPG for path-oriented transition faults
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2004, article number 1337546, p. 92-97
Yang, Kai; Cheng, Tim Kwang-Ting; Wang, Lichung Conference paper

2003 26

A Multimodal Image Database System
International Conference on Computer Vision and Pattern Recognition, Madison, Wisconsin, United States, , 2003
Chang, Edward Yi; Li, Beitao; Lai, Wei-Cheng; Chang, Chengwei; Cheng, Tim Kwang-Ting; Crandell, Michael Article
An anatomy of a large-scale image search engine
Series in Machine Perception and Artificial Intelligence, v. 55, 2003, p. 235-254
Lai, Wei-Cheng; Cheng, Tim Kwang-Ting; Chang, Edward Yi Article
Critical Path Selection for Deep Sub-Micron Delay Test and Timing Validation
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, v. E86-A, (12), December 2003, p. 3038-3048
Liou, Jingjia; Wang, Lichung; Krstic, Angela; Cheng, Tim Kwang-Ting Article
DfT Sigma-Delta Modulator Architecture Implementation
Proc. IEEE International Mixed-Signal Testing Workshop, Seville, Spain, , June, 2003, p. 137-142
Cheng, Tim Kwang-Ting; Ong, Chee-Kian Article
Enhanced Symbolic Simulation for Functional Verification of Embedded Array Systems
Design Automation for Embedded Systems, v. 8, (2-3), June 2003, p. 173-188
Wang, Lichung; Feng, Tao; Cheng, Tim Kwang-Ting; Abadir, Magdy S.; Pandey, Manish Article
Guest editors' introduction: Speed test and speed binning for complex ICs
IEEE Design and Test of Computer, v. 20, (5), September-October 2003, article number 1232250, p. 6-7
Butler, Kenneth M.; Cheng,Tim Kwang-Ting; Wang, Lichung Article
Modeling, testing, and analysis for delay defects and noise effects in deep submicron devices
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 22, (6), June 2003, article number 1201588, p. 756-769
Liou, Jingjia; Krstic, Angela; Jiang, YiMing; Cheng, Tim Kwang Ting Article
Practical considerations in applying Σ-Δ modulation-based analog BIST to sampled-data systems
IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, v. 50, (9), Septemeber 2003, article number 1232530, p. 553-566
Hong, Haochiao; Huang, Jiun-Lang; Cheng, Tim Kwang-Ting; Wu, Chengwen; Kwai, Dingming Article
SHIVA – A Fast Hybrid Constraint Solver for Circuits
TECHCON 2003: Session Papers, 2003
Iyer, Madhu K.; Parthasarathy, Ganapathy; Cheng, Tim Kwang-Ting Article
Special Section on VLSI Design and CAD Algorithms-Timing Verification and Test Generation-Critical Path Selection for Deep Sub-Micron Delay Test and Timing Validation
IEICE Transactions on Fundamentals Electronics Communications and Computer Sciences, v. 86, (12), 2003, p.3038-3048
Liou, Jingjia; Wang, Lichung; Krstic, Angela; Cheng, Tim Kwang-Ting Article
A circuit SAT solver with signal correlation guided learning
Proceedings - Design, Automation, and Test in Europe Conference and Exhibition, 2003, Article number 1253719, p. 892-897
Lu, Feng; Wang, Lichung; Cheng, Tim Kwang-Ting; Huang, Ric C.Y. Conference paper
A comparison of BDDs, BMC, and sequential SAT for model checking
IEEE International High Level Design Validation and Test Workshop (HLDVT), v. 2003, 2003, Article number 1252490, p. 157-162
Parthasarathy, Ganapathy; Iyer, Madhu K.; Cheng, Tim Kwang-Ting; Wang, Lichung Conference paper
A signal correlation guided ATPG solver and its applications for solving difficult industrial cases
Proceedings of the 40th Design Automation Conference, 2003, p. 436-441
Lu, Feng; Wang, Lichung; Cheng, Tim Kwang-Ting; Moondanos, John; Hanna, Ziyad Conference paper
Delay defect diagnosis based upon a statistical timing model - The first step
IEE Proceedings - Computers and Digital Techniques, v. 150, (5 SPEC. ISS.), September 2003, p. 346-354
Krstic, Angela; Wang, Lichung; Cheng, Tim Kwang Ting; Liou, Jingjia; Abadir, Magdy S. Conference paper
Delay defect diagnosis based upon statistical timing models - The first step
Proceedings - Design, Automation, and Test in Europe Conference and Exhibition, 2003, Article number 1253628, p. 328-333
Krstic, Angela; Wang, Lichung; Cheng, Tim Kwang-Ting; Liou, Jingjia; Abadir, Magdy S. Conference paper
Delta-sigma modulator based mixed-signal BIST architecture for SoC
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2003-January, 2003, article number 1195106, p. 669-674
Ong, Chee-Kian; Cheng, Tim Kwang Ting; Wang, Lichung Conference paper
Diagnosis of delay defects using statistical timing models
Proceedings of the IEEE VLSI Test Symposium, v. 2003-January, 2003, article number 1197672, p. 339-344
Krstic, Angela; Wang, Lichung; Cheng, Tim Kwang Ting; Liou, Jingjia Conference paper
Diagnosis-Based Post-Silicon Timing Validation Using Statistical Tools and Methodologies
Proceedings of IEEE International Test Conference (ITC), 2003, p. 339-348
Krstic, Angela; Wang, Lichung; Cheng, Tim Kwang-Ting; Mak, T.M. Conference paper
Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models
Proceedings of the 40th annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2003, p. 668-673
Krstic, Angela; Wang, Lichung; Cheng, Tim Kwang Ting; Liou, Jingjia; Mak, T.M. Conference paper
Experience in critical path selection for deep sub-micron delay test and timing validation
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, v. 2003-January, 2003, article number 1195120, p. 751-756
Liou, Jingjia; Wang, Lichung; Krstic, Angela; Cheng, Tim Kwang Ting Conference paper
Multimedia web services for content filtering, searching, and digital rights management
ICICS-PCM 2003 - Proceedings of the 2003 Joint Conference of the 4th International Conference on Information, Communications and Signal Processing and 4th Pacific-Rim Conference on Multimedia, v. 1-3, 2003, article number 1292441, p. 191-196
Wu, Yileh; Chang, Chengwei; Lai, Wei-Cheng; Cheng, Tim Kwang-Ting; Chang, Edward Yi Conference paper
On structural vs. functional testing for delay faults
Proceedings - International Symposium on Quality Electronic Design, ISQED, v. 2003-January, 2003, article number 1194772, p. 438-441
Krstic, Angela; Liou, Jingjia; Cheng, Tim Kwang Ting; Wang, Lichung Conference paper
SATORI - A fast sequential sat engine for circuits
ICCAD: International Conference on Computer Aided Design., 2003, p. 320-325
Iyer, Madhu K.; Parthasarathy, Ganapathy; Cheng, Tim Kwang-Ting Conference paper
Test consideration for nanometer scale CMOS circuits
Proceedings of the IEEE VLSI Test Symposium, v. 2003, 2003, Article number 1197668, p. 313-315
Roy, Kaushik C.; Mak, T.M.; Cheng, Tim Kwang-Ting Conference paper
The Confluence of Manufacturing Test and Design Validation
Proceedings International Test Conference 2003; Charlotte, NC; United States, 30 September to 2 October 2003, p. 1293
Cheng, Tim Kwang Ting Conference paper
Using Logic Models to Predict the Detection Behavior of Statistical Timing Defects
Proceedings International Test Conference 2003, Charlotte, NC, USA, 30 September to 2 October 2003, p. 1041-1050
Wang, Lichung; Krstic, Angela; Lee, Leonard; Cheng, Tim Kwang Ting; Mercer, Melvin Ray; Williams, Thomas W.; Abadir, Magdy S. Conference paper

2002 18

Embedded software-based self-test for programmable core-based designs
IEEE Design and Test of Computers, v. 19, (4), July-August 2002, article number 1018130, p. 18-27
Krstic, Angela; Chen, Li; Lai, Wei-Cheng; Cheng, Tim Kwang-Ting; Dey, Su-Jit Article
An Enhanced BIST Scheme for ADC and Non-monotonic DAC
IEEE International Mixed-Signal Test Workshop, Montreux, Switzerland, June 19-21, 2002, 2002, p.171-180
Ong, Chee-Kian; Chang, Yeongjar; Chang, Soon-Jyh; Huang, Jiun-Lang; Huang, Kuo-Chan; Cheng, Tim Kwang-Ting; Wu, Wen-Ching Conference paper
Analysis of delay test effectiveness with a multiple-clock scheme
IEEE International Test Conference (TC), 2002, article number 1041786, p. 407-416
Liou, Jingjia; Wang, Lichung; Cheng, Tim Kwang-TIng; Dworak, Jennifer L.; Mercer, Melvin Ray; Kapur, Rohit; Williams, Thomas W. Conference paper
Combining ATPG and symbolic simulation for efficient validation of embedded array systems
IEEE International Test Conference (TC), 2002, article number 1041762, p. 203-212
Parthasarathy, Ganapathy; Iyer, Madhu K.; Feng, Tao; Wang, Lichung; Cheng, Tim Kwang-Ting; Abadir, Magdy S. Conference paper
Embedded Software-Based Self-Testing for SoC Design
Proceedings of the 39th annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2002, p. 355-360
Krstic, Angela; Lai, Wei-Cheng; Chen, Li; Cheng, Tim Kwang-Ting; Dey, Su-Jit Conference paper
Enhancing test efficiency for delay fault testing using multiple-clocked schemes
Proceedings - Design Automation Conference, 2002, article number 1012652, p. 371-374
Liou, Jingjia; Wang, Lichung; Cheng, Tim Kwang-Ting; Dworak, Jennifer L.; Mercer, Melvin Ray; Kapur, Rohit; Williams, Thomas W. Conference paper
False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation
Proceedings of the 39th annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2002, p. 566-569
Liou, Jingjia; Krstic, Angela; Wang, Lichung; Cheng, Tim Kwang-Ting Conference paper
Hybrid learning schemes for multimedia information retrieval
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 2532 LNCS, 2002, p. 556-563
Lai, Wei-Cheng; Chang, Edward Yi; Cheng, Tim Kwang-Ting Conference paper
MORF: A distributed multimodal information filtering system
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), v. 2532 LNCS, 2002, p. 279-286
Wu, Yileh; Chang, Edward Yi; Cheng, Tim Kwang-Ting; Chang, Chengwei; Hsu, Chencha; Lai, Wei-Cheng; Wu, Chingtung Conference paper
On the development of ATPG based Satisfiability Checker
Proceedings of IEEE Microprocessor Test and Verification Workshop, 2002
Lyer, Madhu K.; Parthasarathy, Ganapathy; Cheng, Tim Kwang-Ting Conference paper
On theoretical and practical considerations of path selection for delay fault testing
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers /Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2002, p. 94-100
Liou, Jingjia; Wang, Lichung; Cheng, Tim Kwang-Ting Conference paper
On-chip analog response extraction with 1-bit Σ-Δ modulators
Proceedings of the Asian Test Symposium, v. 2002-January, 2002, article number 1181684, p. 49-54
Hong, Haochiao; Huang, Jiun-Lang; Cheng, Tim Kwang-Ting; Wu, Chengwen Conference paper
PBIR-MM: Multimodal image retrieval and annotation
Proceedings of the ACM International Multimedia Conference and Exhibition / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2002, p. 421-422
Lai, Wei-Cheng; Chang, Chengwei; Chang, Edward Yi; Cheng, Tim Kwang Ting; Crandell, Michael Conference paper
Self-referential verification of gate-level implementations of arithmetic circuits
Proceedings - Design Automation Conference, 2002, article number 1012641, p. 311-316
Chang, Ying Tsai; Cheng, Tim Kwang Ting Conference paper
Self-testing second-order delta-sigma modulators using digital stimulus
Proceedings of the IEEE VLSI Test Symposium, v. 2002-January, 2002, article number 1011122, p. 123-128
Ong, Chee-Kian; Cheng, Tim Kwang-Ting Conference paper
Software-based weighted random testing for IP cores in bus-based programmable SoCs
Proceedings of the IEEE VLSI Test Symposium, v. 2002-January, 2002, article number 1011125, p. 139-144
Iyer, Madhu K.; Cheng, Tim Kwang-Ting Conference paper
Supporting subjective image queries without seeding requirements - Proposing test queries for Benchathlon
Proceedings of SPIE - The International Society for Optical Engineering, v. 4672, 2002, p. 225-232
Chang, Edward Yi; Cheng, Tim Kwang-Ting Conference paper
Testing second-order delta-sigma modulators using pseudo-random patterns
Microelectronics Journal, v. 33, (10), October 2002, p. 807-814
Ong, Chee-Kian; Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Conference paper

2001 19

Limitations and challenges of computer-aided design technology for CMOS VLSI
Proceedings of the IEEE, v. 89, (3), March 2001, article number 915378, p. 341-365
Bryant, Randal E.; Cheng, Tim Kwang-Ting; Kahng, Andrew; Keutzer, Kurt W.; Ma?y, Wojciech P.; Newton, Richard A.; Pileggi, Lawrence T.; Rabaey, Jan M.; Sangiovanni-vincentelli, Alberto K. Article
Pattern generation for delay testing and dynamic timing analysis considering power-supply noise effects
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 20, (3), March 2001, article number 913759, p. 416-425
Krstic, Angela; Jiang, Yi-Min; Cheng, Tim Kwang-Ting Article
Using word-level ATPG and modular arithmetic constraint-solving techniques for assertion property checking
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 20, (3), March 2001, article number 913756, p. 381-391
Huang, Chung-Yang; Cheng, Tim Kwang-Ting Article
Vector generation for power supply noise estimation and verification of deep submicron designs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 9, (2), April 2001, article number 924055, p. 329-340
Jiang, Yi-Min; Cheng, Tim Kwang-Ting Article
Verifying sequential equivalence using ATPG techniques
ACM Transactions on Design Automation of Electronic Systems, v. 6, (2), April 2001, p. 224-275
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien Article
A self-test methodology for IP cores in bus-based programmable SoCs
Proceedings of the 19th IEEE VLSI Test Symposium, 2001, p. 198-203
Huang, Jingreng; Iyer, Madhu K.; Cheng, Tim Kwang-Ting Conference paper
An analysis of ATPG and SAT algorithms for formal verification
Proceedings - IEEE International High-Level Design Validation and Test Workshop, HLDVT, v. 2001-January, 2001, article number 972826, p. 177-182
Parthasarathy, Ganapathy; Huang, Chung-Yang; Cheng, Tim Kwang-Ting Conference paper
An on-chip short-time interval measurement technique for testing high-speed communication links
Proceedings of the IEEE VLSI Test Symposium, 2001, article number 923466, p. 380-385
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Conference paper
Delay testing considering crosstalk-induced effects
IEEE International Test Conference (TC), 2001, article number 966674, p. 558-567
Krstic, Angela; Liou, Jingjia; Jiang, Yi-Min; Cheng, Tim Kwang-Ting Conference paper
Embedded-software-based approach to testing crosstalk-induced faults at on-chip buses
Proceedings of the IEEE VLSI Test Symposium, 2001, article number 923440, p. 204-209
Lai, Wei-Cheng; Huang, Jingreng; Cheng, Tim Kwang-Ting Conference paper
Fast statistical timing analysis by probabilistic event propagation
Proceedings - Design Automation Conference, 2001, article number 935590, p. 661-666
Liou, Jingjia; Cheng, Tim Kwang-Ting; Kundu, Sandip; Krstic, Angela Conference paper
HRM - A hierarchical simulator for full-chip power network reliability analysis
Proceedings - International Symposium on Quality Electronic Design, ISQED, v. 2001-January, 2001, article number 915248, p. 307-312
Jiang, Yi-Min; Koh, Hanyoung; Cheng, Tim Kwang-Ting Conference paper
Induction-based gate-level verification of multipliers
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, 2001, article number 968617, p. 190-193
Chang, Ying Tsai; Cheng, Tim Kwang-Ting Conference paper
Instruction-level DfT for testing processor and IP cores in system-on-a-chip
Proceedings of the 38th annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2001, p. 59-64
Lai, Wei-Cheng; Cheng, Tim Kwang-Ting Conference paper
Mining image features for efficient query processing
Proceedings - IEEE International Conference on Data Mining, ICDM, 2001, article number 989539, p. 353-360
Li, Beitao; Lai, Wei-Cheng; Chang, Edward Yi; Cheng, Tim Kwang-Ting Conference paper
PBIR - Perception-based image retrieval
Proceedings of the ACM SIGMOD International Conference on Management of Data / Timos Sellis, Sharad Mehrotra, editors. New York, NY, United States : Association for Computing Machinery, 2001, p. 613-613
Chang, Edward Yi; Cheng, Tim Kwang-Ting; Chang, Lihyuarn L. Conference paper
PBIR: Perception-Based Image Retrieval-A system that can quickly capture subjective image query concepts
Proceedings of the ACM International Multimedia Conference and Exhibition / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2001, p. 611-614
Chang, Edward Yi; Cheng, Tim Kwang-Ting; Lai, Wei-Cheng; Wu, Chingtung; Chang, Chengwei; Wu, Yileh Conference paper
Support vector machine pairwise classifiers with error reduction for image classification
Proceedings of the ACM International Multimedia Conference and Exhibition / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2001, p. 32-37
Goh, Kingshy; Chang, Edward Yi; Cheng, Tim Kwang-Ting Conference paper
SVM binary classifier ensembles for image classification
International Conference on Information and Knowledge Management, Proceedings / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2001, p. 395-402
Goh, Kingshy; Chang, Edward Yi; Cheng, Tim Kwang-Ting Conference paper

2000 24

AQUILA: An equivalence checking system for large sequential designs
IEEE Transactions on Computers, v. 49, (5), May 2000, article number 859539, p. 443-464
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien; Huang, Chung-Yang; Brewer, Forrest D. Article
Estimation for maximum instantaneous current through supply lines for CMOS circuits
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 8, (1), February 2000, article number 820762, p. 61-73
Jiang, Yi-Min; Krstic, Angela; Cheng, Tim Kwang-Ting Article
Functionally testable path delay faults on a microprocessor
IEEE Design & Test of Computers, v. 17, (4), October-December 2000, article number 895002, p. 6-14
Lai, Wei-Cheng; Krstic, Angela; Cheng, Tim Kwang-Ting Article
On improving test quality of scan-based BIST
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 19, (8), August 2000, article number 856978, p. 928-938
Tsai, Huan-Chih; Cheng, Tim Kwang-Ting; Bhawmik, Sudipta Article
Test point selection for analog fault diagnosis of unpowered circuit boards
IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, v. 47, (10), October 2000, article number 877140, p. 977-987
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Article
Testable path delay fault cover for sequential circuits
Journal of Information Science and Engineering, v. 16, (5), September 2000, p. 673-686
Krstic, Angela; Chakradhar, Srimat T.; Cheng, Tim Kwang Ting Article
A BIST scheme for on-chip ADC and DAC testing
Proceedings -Design, Automation and Test in Europe, DATE, 2000, article number 840041, p. 216-220
Huang, Jiun-Lang; Ong, Chee-Kian; Cheng, Tim Kwang-Ting Conference paper
A delta-sigma modulation based BIST scheme for mixed-signal systems
2000 Southwest Symposium on Mixed-Signal Design, SSMSD 2000, 2000, article number 836463, p. 147-152
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Conference paper
A sigma-delta modulation based BIST scheme for mixed-signal circuits
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2000, p. 605-612
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Conference paper
A testability metric for path delay faults and its application
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC /Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2000, p. 593-598
Tsai, Huan-Chih; Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Conference paper
An FPGA-based re-configurable functional tester for memory chips
Proceedings of the Asian Test Symposium, 2000, article number 893602, p. 51-57
Huang, Jingreng; Ong, Chee-Kian; Cheng, Tim Kwang-Ting; Wu, Chengwen Conference paper
Assertion checking by combined word-level ATPG and modular arithmetic constraint-solving techniques
Proceedings of the 37th Annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2000, p. 118-123
Huang, Chung-Yang; Cheng, Tim Kwang-Ting Conference paper
Characterization of a pseudo-random testing technique for analog and mixed-signal built-in-self-test
Proceedings of the IEEE VLSI Test Symposium, 2000, article number 843851, p. 237-246
Tofte, Jan Arild; Ong, Chee-Kian; Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Conference paper
Collaboration between industry and academia in test research
Proceedings of the Ninth Asian Test Symposium, 2000, article number 893596
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.; Jou, Jing-Yang; Wang, Lichung; Wu, Chi-Feng; Wu, Shianling Conference paper
Dynamic timing analysis considering power supply noise effects
Proceedings - International Symposium on Quality Electronic Design, ISQED, v. 2000-January, 2000, article number 838866, p. 137-143
Jiang, Yi-Min; Krstic, Angela; Cheng, Tim Kwang-Ting Conference paper
Efficient test mode selection and insertion for RTL-BIST
IEEE International Test Conference (TC), 2000, article number 894214, p. 263-272
Roy, Subrata; Guner, Gokhan; Cheng, Tim Kwang-Ting Conference paper
On testing the path delay faults of a microprocessor using its instruction set
Proceedings 18th IEEE VLSI Test Symposium, 2000, article number 843821, p. 15-20
Lai, Wei-Cheng; Krstic, Angela; Cheng, Tim Kwang-Ting Conference paper
Path selection and pattern generation for dynamic timing analysis considering power supply noise effects
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, v. 2000-January, 2000, article number 896521, p. 493-496
Liou, Jingjia; Krstic, Angela; Jiang, Yi-Min; Cheng, Tim Kwang-Ting Conference paper
Path selection for delay testing of deep sub-micron devices using statistical performance sensitivity analysis
Proceedings of the IEEE VLSI Test Symposium, 2000, article number 843832, p. 97-104
Liou, Jingjia; Cheng, Tim Kwang-Ting; Mukherjee, Debaditya Aditya Conference paper
Performance sensitivity analysis using statistical methods and its applications to delay testing
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2000, article number 835169, p. 587-592
Liou, Jingjia; Krstic, Angela; Cheng, Tim Kwang-Ting; Mukherjee, Debaditya Aditya; Kundu, Sandip Conference paper
Static property checking using ATPG v.s. BDD techniques
IEEE International Test Conference (TC), 2000, article number 894219, p. 309-316
Huang, Chung-Yang; Yang, Bwolen; Tsai, Huan-Chih; Cheng, Tim Kwang-Ting Conference paper
Test challenges for deep sub-micron technologies
Proceedings of the 37th Annual Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 2000, p. 142-149
Cheng, Tim Kwang-Ting; Dey, Su-Jit; Rodgers, Mike J.; Roy, Kaushik C. Conference paper
Test program synthesis for path delay faults in microprocessor cores
IEEE International Test Conference (TC), 2000, article number 894321, p. 1080-1089
Lai, Wei-Cheng; Krstic, Angela; Cheng, Tim Kwang-Ting Conference paper
Testing and characterization of the one-bit first-order delta-sigma modulator for on-chip analog signal analysis
IEEE International Test Conference (TC), 2000, article number 894315, p. 1021-1030
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Conference paper

1999 13

AutoFix: A hybrid tool for automatic logic rectification
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 18, (9), September 1999, article number 784128, p. 1376-1384
Huang, Shi-Yu; Chen, Kuang-Chien; Cheng, Tim Kwang-Ting Article
Current Directions in Automatic Test-Pattern Generation
Computer, v. 32, (11), November 1999, article number 803642, p. 58-64
Cheng, Tim Kwang-Ting; Krstic, Angela Article
ErrorTracer: Design error diagnosis based on fault simulation techniques
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 18, (9), September 1999, article number 784125, p. 1341-1352
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Article
Fault emulation: A new methodology for fault grading
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 18, (10), October 1999, article number 790625, p. 1487-1495
Cheng, Tim Kwang-Ting; Huang, Shi-Yu; Dai, Wei-Jin Article
Primitive delay faults: Identification, testing, and design for testability
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 18, (6), June 1999, article number 766720, p. 669-684
Krstic, Angela; Cheng, Tim Kwang-Ting; Chakradhar, Srimat T. Article
Test generation for linear time-invariant analog circuits
IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, v. 46, (5), May 1999, article number 769804, p. 554-564
Pan, Chen-Yang; Cheng, Tim Kwang-Ting Article
A new bare die test methodology
Proceedings of the IEEE VLSI Test Symposium, 1999, article number 766678, p. 290-295
Yang, Zao; Cheng, Tim Kwang-Ting; Tai, K.L. Conference paper
Analysis of performance impact caused by power supply noise in deep submicron devices
Proceedings - Design Automation Conference, 1999, article number 782118, p. 760-765
Jiang, Yi-Min; Cheng, Tim Kwang-Ting Conference paper
Delay testing considering power supply noise effects
IEEE International Test Conference (TC), 1999, article number 805629, p. 181-190
Krstic, Angela; Jiang, Yi-Min; Cheng, Tim Kwang-Ting Conference paper
Improving the test quality for scan-based BIST using a general test application scheme
Proceedings of the 36th annual ACM/IEEE Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1999, p. 748-753
Tsai, Huan-Chih; Cheng, Tim Kwang-Ting; Bhawmik, Sudipta Conference paper
Specification back-propagation and its application to DC fault simulation for analog/mixed-signal circuits
Proceedings of the IEEE VLSI Test Symposium, 1999, article number 766669, p. 220-225
Huang, Jiun-Lang; Pan, Chen-Yang; Cheng, Tim Kwang-Ting Conference paper
Testing high speed VLSI devices using slower testers
Proceedings of the IEEE VLSI Test Symposium, 1999, article number 766641, p. 16-21
Krstic, Angela; Cheng, Tim Kwang-Ting; Chakradhar, Srimat T. Conference paper
VIP - an input pattern generator for identifying critical voltage drop for deep sub-micron designs
Proceedings of the International Symposium on Low Power Electronics and Design, Digest of Technical Papers / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1999, p. 156-161
Jiang, Yi-Min; Young, Tak K.; Cheng, Tim Kwang-Ting Conference paper

1998 31

A hybrid methodology for switching activities estimation
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 17, (4), 1998, p. 357-366
Cheng, David Ihsin; Cheng, Tim Kwang-Ting; Wang, Deborah C.; Marek-Sadowska, Malgorzata M. Article
Efficient test-point selection for scan-based BIST
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 6, (4), December 1998, article number 736140, p. 667-676
Tsai, Huan-Chih; Cheng, Tim Kwang-Ting; Lin, Chih-Jen; Bhawmik, Sudipta Article
Test-point insertion: scan paths through functional logic
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 17, (9), 1998, p. 838-851
Lin, Chih-Chang; Marek-Sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting; Lee, Mike Tien-Chien Article
Delay Fault Testing for VLSI Circuits
Delay Fault Testing for VLSI Circuits / by Angela Krsti?, Kwang-Ting Cheng. United States: Springer US, 1998. Frontiers in Electronic Testing, v. 14.
Krstic, Angela; Cheng, Tim Kwang-Ting Book
Algorithm for Verifying Retimed Circuits
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 111-121, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
AQUILA: A Local BDD-based Equivalence Verifier
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 91-109, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
Case Studies on Delay Testing
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 33-44, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting Book chapter
Conclusions and Future Work
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 169-172, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting Book chapter
Delay Fault Models
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 23-31, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting Book chapter
Delay Fault Simulation
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 77-100, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting Book chapter
Design for Delay Fault Testability
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 131-155, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting Book chapter
ErrorTracer: Error Diagnosis by Fault Simulation
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 159-174, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
Extension to Sequential Error Diagnosis
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 175-187, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
Incremental logic rectification
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 189-209, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
Incremental Verification for Combinational Circuits
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 39-60, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
Incremental Verification for Sequential Circuits
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 61-90, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
Introduction to Logic Debugging
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 139-157, Book series: Frontiers in Electronic Testing, v.12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
Path Delay Fault Classification
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 45-76, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting Book chapter
RTL-to-Gate Verification
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 123-136, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
Symbolic Verification
Formal Equivalence Checking and Design Debugging / Shi-Yu Huang, Kwang-Ting (Tim) Cheng. Boston, MA : Springer, 1998, p. 17-37, Book series: Frontiers in Electronic Testing, v. 12
Huang, Shi-Yu; Cheng, Tim Kwang-Ting Book chapter
Synthesis for Delay Fault Testability
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 157-168, Book series: Frontiers in Electronic Testing, v.14
Krstic, Angela; Cheng, Tim Kwang-Ting Book chapter
Test Application Schemes for Testing Delay Defects
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 7-22, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting Book chapter
Test Generation for Path Delay Faults
Delay Fault Testing for VLSI Circuits / Angela Krsti?, Kwang-Ting Cheng. Boston, MA : Springer, 1998, p. 101-130, Book series: Frontiers in Electronic Testing, v. 14
Krstic, Angela; Cheng, Tim Kwang-Ting Book chapter
A hybrid power model for RTL power estimation
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 1998, article number 669550, p. 551-556
Jiang, Yi-Min; Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Wang, Deborah C.; Ho, Ching Yen Conference paper
An almost full-scan BIST solution - higher fault coverage and shorter test application time
IEEE International Test Conference (TC), 1998, article number 743305, p. 1065-1073
Tsai, Huan-Chih; Bhawmik, Sudipta; Cheng, Tim Kwang-Ting Conference paper
Estimation of maximum power supply noise for deep sub-micron designs
Proceedings of the International Symposium on Low Power Electronics and Design, Digest of Technical Papers / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1998, p. 233-238
Jiang, Yi-Min; Cheng, Tim Kwang-Ting; Deng, An-Chang Conference paper
Exact and approximate estimation for maximum instantaneous current of CMOS circuits
Proceedings -Design, Automation and Test in Europe, DATE, 1998, article number 655934, p. 698-702
Jiang, Yi-Min; Cheng, Tim Kwang-Ting Conference paper
Fault-simulation based design error diagnosis for sequential circuits
Proceedings - Design Automation Conference, 1998, article number 724548, p. 632-637
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien; Lu, Juin-Yeu Joseph Conference paper
Functional scan chain testing
Proceedings -Design, Automation and Test in Europe, DATE, 1998, article number 655868, p. 278-283
Chang, Douglas; Lee, Mike Tien-Chien; Cheng, Tim Kwang-Ting; Marek-sadowska, Malgorzata M. Conference paper
LIBRA - a library-independent framework for post-layout performance optimization
Proceedings of the International Symposium on Physical Design / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1998, p. 135-140
Huang, Ric C.Y.; Wang, Yucheng; Cheng, Tim Kwang-Ting Conference paper
National Science Foundation Workshop on future research directions in testing of electronic circuits and systems
IEEE International Test Conference (TC), 1998, article number 743355, p. 1157-1161
Cheng, Tim Kwang-Ting Conference paper

1997 14

Postlayout logic restructuring using alternative wires
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 16, (6), June 1997, article number 640617, p. 587-596
Chang, Shih-Chieh; Cheng, Tim Kwang Ting; Woo, Nam-Sung; Marek-sadowska, Malgorzata M. Article
Pseudorandom testing for mixed-signal circuits
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 16, (10), October 1997, article number 662678, p. 1173-1185
Pan, Chen-Yang; Cheng, Tim Kwang Ting Article
Resynthesis of Combinational Circuits for Path Count Reduction and for Path Delay Fault Testability
Journal of Electronic Testing: Theory and Application (JETTA), v. 11, (1), August 1997, p. 43-54
Krstic, Angela; Cheng, Tim Kwang-Ting Article
A Hybrid algorithm for test point selection for scan-based BIST
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1997, p. 478-483
Tsai, Huan-Chih; Cheng, Tim Kwang-Ting; Lin, Chih-Jen; Bhawmik, Sudipta Conference paper
Analog fault diagnosis for unpowered circuit boards
Proceedings of the 1997 IEEE International Test Conference (ITC), 1997, p. 640-648
Huang, Jiun-Lang; Cheng, Tim Kwang-Ting Conference paper
AQUILA: An equivalence verifier for large sequential circuits
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 1997, p. 455-460
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien Conference paper
Design for primitive delay fault testability
IEEE International Test Conference (TC), 1997, article number 639649, p. 436-445
Krstic, Angela; Chakradhar, Srimat T.; Cheng, Tim Kwang-Ting Conference paper
ErrorTracer: A fault simulation-based approach to design error diagnosis
IEEE International Test Conference (TC), 1997, article number 639713, p. 974-981
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien; Cheng, David Ihsin Conference paper
Estimation of maximum power and instantaneous current using a genetic algorithm
Proceedings of the 1997 IEEE Custom Integrated Circuits Conference, 1997, p. 135-138
Jiang, Yi-Min; Cheng, Tim Kwang-Ting; Krstic, Angela Conference paper
Fault macromodeling for analog/mixed-signal circuits
IEEE International Test Conference (TC), 1997, article number 639706, p. 913-922
Pan, Chen-Yang; Cheng, Tim Kwang-Ting Conference paper
Incremental logic rectification
Proceedings of the IEEE VLSI Test Symposium, 1997, p. 143-149
Huang, Shi-Yu; Chen, Kuang-Chien; Cheng, Tim Kwang-Ting Conference paper
Post-layout logic restructuring for performance optimization
Proceedings of the 1997 34th Design Automation Conference, 1997, p. 662-665
Jiang, Yi-Min; Krstic, Angela; Cheng, Tim Kwang-Ting; Marek-Sadowska, Malgorzata M. Conference paper
Test synthesis approach to reducing BALLAST DFT overhead
Proceedings of the 1997 34th Design Automation Conference, 1997, p. 466-471
Chang, Douglas; Lee, Mike Tien-Chien; Marek-Sadowska, Malgorzata M.; Aikyo, Takashi; Cheng, Tim Kwang-Ting Conference paper
Vector generation for maximum instantaneous current through supply lines for CMOS circuits
Proceedings of the 1997 34th Design Automation Conference, 1997, p. 383-388
Krstic, Angela; Cheng, Tim Kwang-Ting Conference paper

1996 21

Automatic generation of functionial vectors using the extended finite state machine model
ACM Transactions on Design Automation of Electronic Systems, v. 1, (1), January 1996, p. 57-79
Cheng, Tim Kwang-Ting; Krishnakumar, Avinash S. Article
Classification and identification of nonrobust untestable path delay faults
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 15, (8), August 1996, article number 511566, p. 845-853
Cheng, Tim Kwang-Ting; Chen, Hsi-Chuan Article
Design Validation: Formal Verification vs. Simulation vs. Functional Testing
Proceedings of the 14th IEEE VLSI Test Symposium (VTS '96), 1996
Abraham, J.; Bryant, Randal E.; Cheng, Tim Kwang-Ting; Dai, Wei-Jin Article
Fault macromodeling and a testing strategy for opamps
Journal of Electronic Testing: Theory and Applications (JETTA), v. 9, (3), December 1996, p. 225-235
Pan, Chen-Yang; Cheng, Tim Kwang-Ting; Gupta, Sandeep K. Article
Generation of high quality tests for robustly untestable path delay faults
IEEE Transactions on Computers, v. 45, (12), December 1996, article number 545968, p. 1379-1392
Cheng, Tim Kwang-Ting; Krstic, Angela; Chen, Hsi-Chuan Article
Perturb and simplify: multilevel boolean network optimizer
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 15, (12), December 1996, article number 552082, p. 1494-1504
Chang, Shih-Chieh; Marek-sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting Article
Tutorial and Survey Paper: Gate-Level test generation for sequential circuits
ACM Transactions on Design Automation of Electronic Systems, v. 1, (4), October 1996, p. 405-442
Cheng, Tim Kwang-Ting Article
An ATPG-based framework for verifying sequential equivalence
IEEE International Test Conference (TC), 1996, article number 557148, p. 865-874
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien; Glaeser, Uwe Conference paper
An Efficient compact test generator for IDDQ testing
Proceedings of the Asian Test Symposium, 1996, article number 555156, p. 177-182
Kondo, Hisashi; Cheng, Tim Kwang-Ting Conference paper
Built-In Self Test for Analog and Mixed-Signal Designs
Proceeding of 5th Asian Test Symposium, 1996, p. 197-198
Cheng, Tim Kwang-Ting Conference paper
Compact vector generation for accurate power simulation
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1996, p. 161-164
Huang, Shi-Yu; Chen, Kuang-Chien; Cheng, Tim Kwang-Ting; Lee, Mike Tien-Chien Conference paper
Driving toward higher IDDQ test quality for sequential circuits: A generalized fault model and its ATPG
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, 1996, article number 569610, p. 228-232
Kondo, Hisashi; Cheng, Tim Kwang-Ting Conference paper
Error correction based on verification techniques
Proceedings - Design Automation Conference, 1996, article number 545583, p. 258-261
Huang, Shi-Yu; Chen, Kuang-Chien; Cheng, Tim Kwang-Ting Conference paper
Identification and test generation for primitive faults
IEEE International Test Conference (TC), 1996, article number 557046, p. 423-432
Krstic, Angela; Cheng, Tim Kwang-Ting; Chakradhar, Srimat T. Conference paper
Implicit functional testing for analog circuits
Proceedings of the IEEE VLSI Test Symposium, 1996, article number 510898, p. 489-494
Pan, Chen-Yang; Cheng, Tim Kwang-Ting Conference paper
New hybrid methodology for power estimation
Proceedings - Design Automation Conference, 1996, article number 545616, p. 439-444
Cheng, David Ihsin; Cheng, Tim Kwang-Ting; Wang, Deborah C.; Marek-sadowska, Malgorzata M. Conference paper
Novel methodology for transistor-level power estimation
International Symposium on Low Power Electronics and Design, Digest of Technical Papers, 1996, article number 542732, p. 67-72
Huang, Shi-Yu; Cheng, Tim Kwang-Ting; Chen, Kuang-Chien; Lee, Mike Tien-Chien Conference paper
On verifying the correctness of retimed circuits
Proceedings of the IEEE Great Lakes Symposium on VLSI, 1996, article number 497633, p. 277-280
Huang, Shi-Yu; Cheng, Tim Kwang Ting; Chen, Kuang-Chien Conference paper
Scan paths through functional logic
Proceedings of the Custom Integrated Circuits Conference, 1996, article number 510603, p. 487-490
Lin, Chih-Chang; Marek-sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting; Lee, Mike Tien-Chien Conference paper
Test point insertion: Scan paths through combinational logic
Proceedings - Design Automation Conference, 1996, article number 545585, p. 268-273
Lin, Chih-Chang; Marek-sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting; Lee, Mike Tien-Chien Conference paper
Testable path delay fault cover for sequential circuits
European Design Automation Conference - Proceedings, 1996, article number 558208, p. 220-226
Krstic, Angela; Chakradhar, Srimat T.; Cheng, Tim Kwang-Ting Conference paper

1995 14

Single-Clock Partial Scan
IEEE Design & Test of Computers, v. 12, (2), Summer 1995, article number 386002, p. 24-31
Cheng, Tim Kwang-Ting Article
Test synthesis: the practicality of DFT
EURO-DAC 1995, Brighton: Documentation tutorial, v. 4, 1995
Bennetts, R.G.; Cheng, Tim Kwang-Ting Article
Timing-Driven Partial Scan
IEEE Design & Test of Computers, v. 12, (4), Winter 1995, article number 491238, p. 52-59
Jou, Jing-Yang; Cheng, Tim Kwang-Ting Article
An Efficient algorithm for local don't care sets calculation
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1995, p. 663-667
Chang, Shih-Chieh; Marek-sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting Conference paper
Fast identification of robust dependent path delay faults
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1995, p. 112-125
Sparmann, Uwe; Luxenburger, D.; Cheng, Tim Kwang-Ting; Reddy, Sudhakar M. Conference paper
Fault emulation: A new approach to fault grading
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, 1995, article number 480203, p. 681-686
Cheng, Tim Kwang-Ting; Huang, Shi-Yu; Dai, Wei-Jin Conference paper
Generation of high quality tests for functional sensitizable paths
Proceedings of the IEEE VLSI Test Symposium, 1995, article number 512663, p. 374-379
Krstic, Angela; Cheng, Tim Kwang-Ting Conference paper
Logic optimization by an improved sequential redundancy addition and removal technique
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 1995, article number 486229, p. 235-240
Glaeser, Uwe; Cheng, Tim Kwang-Ting Conference paper
Logic synthesis for engineering change
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1995, p. 647-652
Lin, Chih-Chang; Chen, Kuang-Chien; Chang, Shih-Chieh; Marek-sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting Conference paper
Minimax end-to-end delay routing and capacity assignment for virtual circuit networks
Conference Record / IEEE Global Telecommunications Conference, v. 1-3, 1995, article number 502781, p. 2134-2138
Cheng, Tim Kwang-Ting; Lin, Frank Yeong-Sung Conference paper
Partial scan designs without using a separate scan clock
Proceedings of the IEEE VLSI Test Symposium, 1995, article number 512649, p. 277-282
Cheng, Tim Kwang-Ting Conference paper
Pseudo-random testing and signature analysis for mixed-signal circuits
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, 1995, article number 479999, p. 102-107
Pan, Chen-Yang; Cheng, Tim Kwang-Ting Conference paper
Speeding up power estimation by topological analysis
Proceedings of the Custom Integrated Circuits Conference, 1995, article number 518260, p. 623-626
Cheng, David Ihsin; Marek-sadowska, Malgorzata M.; Cheng, Tim Kwang-Ting Conference paper
Timing-driven test point insertion for full-scan and partial-scan BIST
IEEE International Test Conference (TC), 1995, article number 529878, p. 506-514
Cheng, Tim Kwang-Ting; Lin, Chih-Jen Conference paper

1994 7

Automatic test generation for digital electronic circuits
AT&T Technical Journal, v. 73, (2), March-April 1994, article number 6768146, p. 19-29
Chakraborty, Tapan J.; Davidson, Scott; Maamari, Fadi; Cheng, Tim Kwang-Ting Article
Comprehensive fault macromodel for opamps
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1994, p. 334-348
Pan, Chen-Yang; Cheng, Tim Kwang-Ting; Gupta, Sandeep K. Conference paper
Generation of high quality non-robust tests for path delay faults
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1994, p. 365-369
Cheng, Tim Kwang-Ting; Chen, Hsi-Chuan Conference paper
Layout driven logic synthesis for FPGAs
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1994, p. 308-313
Chang, Shih-Chieh; Cheng, Tim Kwang-Ting; Woo, Nam-Sung; Marek-sadowska, Malgorzata M. Conference paper
On the computation of the set of reachable states of hybrid models
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1994, p. 615-620
Krishnakumar, Avinash S.; Cheng, Tim Kwang-Ting Conference paper
On the joint virtual path assignment and virtual circuit routing problem in ATM networks
1994 IEEE GLOBECOM Communications: The Global Bridge, v. 1-3, 1994, article number 512701, p. 777-782
Cheng, Tim Kwang-Ting; Lin, Frank Yeong-Sung Conference paper
Test Synthesis in Cooperation with the International Test Conference
IEEE Computer Society, v. 11, 1994, report number 1, p. 60-68
Bennetts, R.G.; Cheng, Tim Kwang-Ting; Kornegay, K.T.; Niermann, T.; Rajski, J.; Roy, Kaushik C.; Wagner, K. Conference paper

1993 11

Delay-Fault Test Generation and Synthesis for Testability Under a Standard Scan Design Methodology
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 12, (8), August 1993, article number 238614, p. 1217-1231
Cheng, Tim Kwang-Ting; Devadas, Srinivas; Keutzer, Kurt W. Article
On the over-specification problem in sequential ATPG algorithms
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 12, (10), October 1993, article number 256935, p. 1599-1604
Cheng, Tim Kwang-Ting; Ma, Hi-Keung Tony Article
Redundancy Removal for Sequential Circuits Without Reset States
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 12, (1), January 1993, article number 184840, p. 13-24
Cheng, Tim Kwang-Ting Article
STOIC: State Assignment Based on Output/Input Functions
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 12, (8), August 1993, article number 238605, p. 1123-1131
Pomeranz, Irith; Cheng, Tim Kwang-Ting Article
Transition Fault Testing for Sequential Circuits
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 12, (12), December 1993, article number 251160, p. 1971-1983
Cheng, Tim Kwang-Ting Article
Automatic functional test generation using the extended finite state machine model
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1993, p. 86-91
Cheng, Tim Kwang-Ting; Krishnakumar, Anjur Sundaresan Conference paper
Delay testing for non-robust untestable circuits
Proceedings of the International Test Conference, 1993, article number 470604, p. 954-961
Cheng, Tim Kwang-Ting; Chen, Hsi-Chuan Conference paper
Multi-chip Modules Introduction
IEEE Design and Test of Computers, v. 10, (4), 1993, p. 7
Dai, W.W.M.; Cheng, Tim Kwang-Ting Conference paper
Multi-level logic optimization by redundancy addition and removal
1993 European Conference on Design Automation with the European Event in ASIC Design, 1993, article number 386447
Cheng, Tim Kwang-Ting; Entrena, Luis A. Conference paper
Sequential logic optimization by redundancy addition and removal
Proceedings of 1993 International Conference on Computer Aided Design (ICCAD), 1993, article number 580074, p. 310-315
Entrena, Luis A.; Cheng, Tim Kwang-Ting Conference paper
Virtual path assignment and virtual circuit routing in ATM networks
IEEE Global Telecommunications Conference, v. 1, 1993, article number 318043, p. 436-441
Lin, Frank Yeong-Sung; Cheng, Tim Kwang-Ting Conference paper

1992 9

A Functional Fault Model for Sequential Machines
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 11, (9), September 1992, article number 159992, p. 1065-1073
Cheng, Tim Kwang-Ting; Jou, Jing-Yang Article
Initializability Consideration in Sequential Machine Synthesis
IEEE Transactions on Computers, v. 41, (3), March 1992, article number 127453, p. 374-379
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Article
A partial enhanced-scan approach to robust delay-fault test generation for sequential circuits
Digest of Papers - International Test Conference, January 1992, article number 519700, p. 403-410
Cheng, Tim Kwang-Ting; Devadas, Srinivas; Keutzer, Kurt W. Conference paper
An ATPG-based approach to sequential logic optimization
1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers, 1992, article number 185279, p. 372-375
Cheng, Tim Kwang-Ting Conference paper
On the over-specification problem in sequential ATPG algorithms
Proceedings - Design Automation Conference, 1992, article number 227870, p. 16-21
Cheng, Tim Kwang-Ting; Ma, Hi-Keung Tony Conference paper
State assignment using input/output functions
Proceedings - Design Automation Conference, 1992, article number 227820, p. 573-577
Pomeranz, Irith; Cheng, Tim Kwang-Ting Conference paper
Test generation for delay faults in non-scan and partial scan sequential circuits
IEEE/ACM International Conference on Computer-Aided Design, 1992, article number 279313, p. 554-559
Cheng, Tim Kwang-Ting Conference paper
Timing-driven partial scan
1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers, 1992, p. 404-407
Jou, Jing-Yang; Cheng, Tim Kwang-Ting Conference paper
Transition fault simulation for sequential circuits
Proceedings - International Test Conference, v. 1992-January, 1992, article number 527894, p. 723-731
Cheng, Tim Kwang-Ting Conference paper

1991 6

Methods for synthesizing testable sequential circuits
AT&T Technical Journal, v. 70, (1), January-February 1991, article number 6769153, p. 64-86
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Article
Behavioral and logic synthesis for performance and testability
4th Int. Syrup, IC Design, Manufacture and Applications ISIC-91, 1991, p. 23-28
Cheng, Tim Kwang-Ting; Dussault, J.; Fishburn, J.P.; Jou, Jing-Yang Conference paper
On removing redundancy in sequential circuits
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1991, p. 164-169
Cheng, Tim Kwang-Ting Conference paper
Pascant: A partial scan and test generation system
Proceedings of the Custom Integrated Circuits Conference, 1991, article number 163995, p. 439-442
Bhawmik, Sudipta; Lin, Chih-Jen; Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Conference paper
Robust delay-fault test generation and synthesis for testability under a standard scan design methodology
Proceedings - Design Automation Conference / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1991, p. 80-86
Cheng, Tim Kwang-Ting; Devadas, Srinivas; Keutzer, Kurt W. Conference paper
Test function specification in synthesis
27th ACM/IEEE Design Automation Conference. Proceedings 1990 / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1991, p. 235-240
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting Conference paper

1990 9

A Partial Scan Method for Sequential Circuits with Feedback
IEEE Transactions on Computers, v. 39, (4), April 1990, article number 54847, p. 544-548
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Article
A Simulation-Based Method for Generating Tests for Sequential Circuits
IEEE Transactions on Computers, v. 39, (12), December 1990, article number 61065, p. 1456-1463
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.; Kuh, Ernest S. Article
Finite state machine synthesis with embedded test function
Journal of Electronic Testing, v. 1, (3), October 1990, p. 221-228
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting Article
A single-state-transition fault model for sequential machines
1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers, 1990, article number 129887, p. 226-229
Cheng, Tim Kwang-Ting; Jou, Jing-Yang Conference paper
An architecture for synthesis of testable finite state machines
Proceedings of the European Design Automation Conference, EDAC 1990, 1990, article number 136719, p. 612-616
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting Conference paper
An entropy measure for the complexity of multi-output Boolean functions
27th ACM/IEEE Design Automation Conference. Proceedings 1990 / Association for Computing Machinery. New York, NY, United States : Association for Computing Machinery, 1990, p. 302-305
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Conference paper
Functional test generation for finite state machines
Digest of Papers - International Test Conference, 1990, article number 114014, p. 162-168
Cheng, Tim Kwang-Ting; Jou, Jing-Yang Conference paper
Synthesis of testable finite state machines
Proceedings - IEEE International Symposium on Circuits and Systems, v. 1-4, 1990, article number 112671, p. 3114-3117
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Conference paper
Timing optimization with testability considerations
1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers, 1990, article number 129953, p. 460-463
Saldanha, Alexander C.; Brayton, Robert K.; Sangiovanni-vincentelli, Alberto K.; Cheng, Tim Kwang-Ting Conference paper

1989 7

A Directed Search Method for Test Generation Using a Concurrent Simulator
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 8, (2), February 1989, article number 21831, p. 131-138
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting; Agrawal, Prathima Article
Unified Methods for VLSI Simulation and Test Generation
Unified Methods for VLSI Simulation and Test Generation / Cheng, Tim Kwang-Ting, Agrawal, Vishwani D. . USA: Kluwer Academic Publishers Norwell, 1989
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Book
Concurrent test generation and design for testability
Proceedings - IEEE International Symposium on Circuits and Systems, v. 3, 1989, article number 100748, p. 1935-1938
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Conference paper
Design of sequential machines for efficient test generation
1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers, 1989, article number 76969, p. 358-361
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Conference paper
Economical scan design for sequential logic test generation
Digest of Papers - FTCS (Fault-Tolerant Computing Symposium), 1989, article number 105539, p. 28-35
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Conference paper
Fault simulation in a pipelined multiprocessor system
Proceedings. 'Meeting the Tests of Time'., International Test Conference, 1989, article number 82361, p. 727-734
Agrawal, Prathima; Agrawal, Vishwani D.; Cheng, Kwang-Ting; Tutundjian, Raffi Conference paper
State assignment for initializable synthesis
1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers, 1989, article number 76938, p. 212-215
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Conference paper

1988 4

Designing circuits with partial scan
IEEE Design & Test of Computers, v. 5, (2), April 1988, article number 2032, p. 8-15
Agrawal, Vishwani D.; Lin, Tonysheng; Cheng, Tim Kwang-Ting; Johnson, Daniel D. Article
Threshold-value Simulation and Test Generation
Testing and Diagnosis of VLSI and ULSI / Fabrizio Lombardi, Mariagiovanna Sami, editors. Dordrecht : Springer, 1988, p. 311-323, Book series: NATO ASI Series, v. 151
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting Book chapter
CONTEST: A concurrent test generator for sequential circuits.
Proceedings - Design Automation Conference, 1988, article number 14739, p. 84-89
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting; Agrawal, Prathima Conference paper
Sequential circuit test generation using threshold-value simulation
Digest of Papers - FTCS (Fault-Tolerant Computing Symposium), 1988, article number 5292, p. 24-29
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D.; Kuh, Ernest S. Conference paper

1987 2

Complete solution to the partial scan problem
Digest of Papers - International Test Conference, 1987, p. 44-51
Agrawal, Vishwani D.; Cheng, Tim Kwang-Ting; Johnson, Daniel D.; Lin, Tonysheng Conference paper
Simulation-based directed-search method for test generation
Proceedings - 1987 IEEE International Conference on Computer Design: VLSI in Computers & Processors, 1987, p. 48-51
Cheng, Tim Kwang-Ting; Agrawal, Vishwani D. Conference paper

1984 1

An Approach to structural optimization—sequential quadratic programming, SQP
Engineering Optimization, v. 8, (1), January 1984, p. 83-100
Qian, L.X.; Zhong, W.X.; Cheng, Tim Kwang-Ting; Sui, Y.K. Article

1982 1

Sequential Quadratic Programming and Dynamic Optimal Design of Rotating Blades
Optimization Methods in Structural Design, v. 1, 1982, p. 301
Cheng, Tim Kwang-Ting; Gu, Y. Conference paper


No Publications






Teaching Assignment
2021-22 Winter 0 2021-22 Fall 1 2020-21 Summer 1 2020-21 Spring 0 2020-21 Winter 0 2020-21 Fall 0


COMP4981 Final Year Project


COMP4981 Final Year Project


No Teaching Assignments


No Teaching Assignments


No Teaching Assignments


No Teaching Assignments






Research Postgraduate (RPG) Supervision From January 2019 to December 2022 (As of 30 January 2022)


All Supervisions Current RPGs Graduated RPGs




Current RPGs


Doctor of Philosophy JIANG, Qiang
Computer Science and Engineering( 2021 - )

LIN, Yi (co-supervision)
Computer Science and Engineering( 2021 - )

TIAN, Fengshi
Electronic and Computer Engineering( 2021 - )

HE, Jingyu (co-supervision)
Electronic and Computer Engineering( 2020 - )

HUANG, Xijie
Computer Science and Engineering( 2020 - )

DAI, Weihang
Computer Science and Engineering( 2019 - )

LI, Shuhan
Computer Science and Engineering( 2019 - )

WICAKSANA, Jeffry
Electronic and Computer Engineering( 2019 - )

WU, Huimin
Computer Science and Engineering( 2019 - )

LI, Shichao
Computer Science and Engineering( 2017 - )





Graduated RPGs


Doctor of Philosophy LIU, Zechun
Electronic and Computer Engineering( Completed in 2021 )

YAN, Zengqiang
Computer Science and Engineering( Completed in 2020 )




Master of Philosophy CHEN, Guang
Electronic and Computer Engineering( Completed in 2021 )

PAN, Hui
Electronic and Computer Engineering( Completed in 2019 )









ProjectsFrom January 2020 to December 2022

All Projects 8 Leading Projects 5 Participating Projects 3


Artificial Intelligence Methods for Early Diagnosis of Pancreatic Cancer in CT Imaging


基於CT影像的胰腺癌早期診斷人工智能方法研究 Leading


National Natural Science Foundation of China / Research Grants Council Joint Research Scheme


Project Team (HKUST)
CHENG Tim Kwang-Ting (Lead)
ZHANG Tong


2021 -




AI-powered Audience Engagement Analysis for Virtual Communication


基於人工智能的虛擬溝通聽眾參與度分析 Participating


Innovation and Technology Fund


Project Team (HKUST)
QU Huamin (Lead)
CHENG Tim Kwang-Ting


2021 -




ACCESS: AI Chip Center for Emerging Smart Systems Leading


Innovation and Technology Fund


Project Team (HKUST)
CHENG Tim Kwang-Ting (Lead)
CHAN Man Sun
TSUI Chi Ying
XU Jiang
ZHANG Wei


2020 -




Hong Kong Center of AI, Robotics and Electronics (HK CARE) for Prefabricated Construction


N/A Participating


Innovation and Technology Fund


Project Team (HKUST)
LI Zexiang (Lead)
CHEN Kevin Jing
CHEN Lei
CHEN Qifeng
CHENG Jack Chin Pang
CHENG Tim Kwang-Ting
FAN Zhiyong
LEE Yi-Kuen
LEUNG Winnie Suk Wai
LIU Ming
QIU Li
QUAN Long
SEO Jungwon
SHEN Shaojie
WANG Michael Yu
WANG Yang
ZHANG Qian


2020 -




Weakly Supervised Domain Adaptation for Medical Image Segmentation


用於醫學圖像分割的弱監督域自適應算法 Leading


RGC - General Research Fund


Project Team (HKUST)
CHENG Tim Kwang-Ting (Lead)


2020 -




Using Imaging Data and Genomic Data to Predict Metastasis of Breast Cancer after Treatment


利用圖像數據和基因組數據預測乳腺癌治療後的轉移 Participating


Innovation and Technology Fund


Project Team (HKUST)
YU Weichuan (Lead)
CHENG Tim Kwang-Ting
WANG Jiguang
WU Angela Ruohao


2019 -




Ratioed and Voltage Sensing Based ReRAM for Variation Tolerance and Energy Efficiency: From Cell Design to Applications


基於電壓感應的比率ReRAM用於改善容差和能效研究:從單元設計到應用 Leading


RGC - General Research Fund


Project Team (HKUST)
CHENG Tim Kwang-Ting (Lead)


2019 - 2021




Addressing Security Threats in Unspecified Hardware and Low-level Software Functionality


解決硬件及底層軟件系統中未規范功能帶來的保安威脅 Leading


RGC - General Research Fund


Project Team (HKUST)
CHENG Tim Kwang-Ting (Lead)


2018 - 2020






相关话题/香港科技大学 工学院