删除或更新信息,请邮件至freekaoyan#163.com(#换成@)

南方科技大学深港微电子学院导师教师师资介绍简介-余 浩

本站小编 Free考研考试/2021-06-12

余 浩副院长、教授
个人简介
个人主页
余浩教授,于2007年获得美国加州大学洛杉矶分校博士学位,2010-2017年新加坡南洋理工大学任助理教授,2017年6月加入南方科技大学。余浩教授现担任深圳南方科技大学深港微电子学院创院副院长(长聘教授),南科大—ARM中国/中兴人工智能实验室负责人,未来通信集成电路教育部工程研究中心执行主任。2020吴文俊人工智能芯片专项奖二等奖获得者,2019吴文俊人工智能技术发明奖三等奖获得者。余浩教授长期从事高性能集成电路芯片设计,在相关领域有超过20年的科研积累(大于30次 28nm-180nm流片),研究水平处于国际先进水平。余浩教授在高性能集成电路(人工智能芯片,太赫兹通讯芯片,DNA传感器芯片)领域有取得多项原创性成果,是该领域的国际著名专家。

余浩教授累计发表267篇论文(IEEE/ACM核心期刊92篇及会议论文175 篇,引用近3500次),如Scientific Reports, IEEE Journal of Solid State Circuits, IEEE Trans. on Microwave Theory and Tech.等。余浩教授有3D-IC多核设计论文获得2010年国际计算机协会(ACM-TODAES)最佳论文奖, DNA测序芯片设计论文获得2018年国际电子电气工程协会(IEEE-BioCAS)最佳论文奖,高速太赫兹互连工作获2009年国际半导体工业联合会(SRC)创新发明奖,领导的团队项目“基于网络搜索优化的RISC-V边缘人工智能芯片”获得2020吴文俊人工智能芯片专项奖,“面向边缘计算的深度学习芯片的关键技术开发”获得2019吴文俊人工智能技术发明奖及2018年科技部产学研合作创新奖。研究成果多次被在线技术杂志报道,并获得多项政府资助及企业项目(企业包括华为,腾讯,中兴,华大基因等)。

余浩教授的学术工作在国际上具有影响力,他是IEEE电路系统(CAS)方向的杰出宣讲人(2017-2018),及国际知名期刊编辑/副编辑(IEEE/ACM/Elsevier):Scientific Reports,IEEE (Trans BioCAS) ,ACM (Trans Embedded Computing)副主编, Elsevier (Microelectronics Journal)总副主编。担任国际电路系统会议技术委员会成员:IEEE-CICC/ASSCC/ICCAD/DAC/DATE等。 他在国际大会主题报告3次,受邀报告大于50次(IEEE-IMS,IEEE-ISCAS等)。他编写了9部英文专著(1本电路设计AMAZON前100名畅销书),获得专利授权20项。指导毕业9名博士生(例如美国George Manson大学助理教授,阿里/华为/腾讯实验室主任工程师等)。

教育经历
2007年获得获得美国加州大学洛杉矶分校电子工程系博士学位
1999年获得上海复旦大学学士学位


工作经历
2017年6月至今,南方科技大学,深港微电子学院副院长,长聘教授
2010年至2017年,新加坡南洋理工大学,助理教授


研究简介
人工智能芯片
太赫兹通讯芯片
DNA传感器芯片


所获荣誉
第十届吴文俊人工智能芯片专项奖二等奖
第九届吴文俊人工智能技术发明奖三等奖
IEEE BioCAS 会议2018最佳论文奖
2018 中国产学研和合作创新奖
IEEE-CAS杰出宣讲人
ACM-TODAES期刊 2010 最佳论文奖
美国半导体工业联合会(SRC)创新发明奖


代表文章
J92.(ITAP’20) C. Ma, S. Ma, L. Dai, Q. Zhang, H. Wang andH. Yu*,” Wideband and High-gain D-band Antennas for Next-generation Short Distance Wireless Communication Chips”, IEEE Transactions on Antennas and Propagation 2020.( DOI:10.1109/TAP.2020.**)
J91. (ML’20) Bin Yao, Xinwei Xa, Qingfeng Zhang,Hao Yu, He Li, Lulu Ren, Steven Perini, Michael Lanagan, Qing Wang, Hong Wang?, "Highly stretchable and mechanically tunable antennas based on three-dimensional liquid metal network," Materials Letters, October 26, 2020.
J90. (DT’20) H. Lyu, F. An*, S. Zhao, W. Mao andH. Yu*, "A 703.4 GOPs/W Binary SegNet Processor with Computing-Near-Memory Architecture for Road Detection," IEEE Design & Test, pp. 1-1, October 26, 2020. (DOI: 10.1109/MDAT.2020.**)
J89. (Wiley’20) Dingbang Liu,Hao Yu*, and Yang Chai*. “Low-power computing with neuromorphic engineering.” Wiley-VCH: Adavance Intelligence System, September 2020.
J88. (TOECS’20) Yuan Cheng, Guangya Li, Ngai Wong, Hai-Bao Chen, andHao Yu*. “DEEPEYE: A Deeply Tensor-Compressed Neural Network for Video Comprehension on Terminal Devices.” ACM Transactions on Embedd Computing Systems, vol. 1, no.1, February 2020.
J87. (GRSL’20) Hai-Bao Chen, Shan Jiang, Guanghui He, B. Zhang,Hao Yu. “TEANS: A Target Enhancement and Attenuated Non-Maximum Suppression Object Detector for Remote Sensing Images. ” IEEE Geoscience and Remote Sensing Letters, GRSL-00212-2019.R1, pp.1-5, April 2020. (doi: 10.1109/LGRS.2020.**)(Impact Factor 2.89)
J86. (微纳电子与智能制造’19) 林甲富,代柳瑶,余浩*. “CMOS太赫兹电子学:亚太赫兹表面波互连.” 微纳电子与智能制造, no.3, pp. 99-109, 2019. (doi: 10.19816/j.cnki.10-1594/tn.000014)
J85. (Sensors’19) Liu Wenjuan, He Leming, Wang Xubo, Zhou Jia, Xu Weijiang, Smagin Nikolay, Toubal Malika,Yu Hao, Gu Yuandong, Xu Jinghui, Remiens Denis, Ren Junyan*. “3D FEM analysis of high-frequency ALN-based PMUT arrays on cavity SOI.” Sensors (Switzerland), vol.19, no.20, October 2019. (doi: 10.3390/s**)(Impact Factor 3.031)
J84. (TVLSI’19) Liang, Y., Boon, C.C., Li, C.,Zhang, Q.,Hao Yu. “Design and Analysis of D-Band On-Chip Modulator and Signal Source Based on Split-Ring Resonator. ” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.27, no.7, pp.1513-1526, July 2019. (doi:10.1109/TVLSI.2019.**)
J83. (TETC’19) Huang, G.-B.,Eleftheriou, E.S.,Kudithipudi, D.,Tapson, J.,Hao Yu, "Guest Editorial: Special Issue on New Trends in Smart Chips and Smart Hardware", Integration, IEEE Transactions on Emerging Topics in Computational Intelligence, vol.3, no.1, pp.1-3, 2019. (doi: 10.1109/TETCI.2018.**)
J82. (VLSI Journal) Yuan Cheng, Chao Wang, Hai-Bao Chen,Hao Yu, "A Large-scale In-memory Computing for Deep Neural Network with Trained Quantization", Integration, the VLSI Journal, vol.69, pp.345-355,2019. (doi: 10.1016/j.vlsi.2019.08.004)
J81. (TIE’18) Yixing Li, Zichuan Liu, Wenye Liu, Yu Jiang, Yongliang Wang,Hao Yuand Fengbo Ren,“A 34-FPS 698-GOP/s/W Binarized Deep Neural Network-based Natural Scene Text Interpretation Accelerator for Mobile Edge Computing”, IEEE Transactions on Industrial Electronics, vol.66, no.9, pp.7407-7416, September 2019. (doi: 10.1109/TIE.2018.**) (Impact Factor 7.00)
J80. (TNNL’18) Hantao Huang, andHao Yu,“LTNN: A Layer-wise Tensorized Compression of Multilayer Neural Network”, IEEE Transactions on Neural Networks and Learning Systems, vol.30, no.5, pp.1497-1511, May 2019. (doi: 10.1109/TNNLS.2018.**) (Impact Factor 7.98)
J79. (TCOM’18) Soumitra R. Joy, Erementchouk Mikhail,Hao Yuand Pinaki Mazum,“Spoof Plasmon Interconnects--- Communications beyond RC Limit”, IEEE Transactions on Communications, vol.67, no.1, pp.599-610, January 2019. (doi: 10.1109/TCOMM.2018.**) (Impact Factor 4.67)
J78. (Access’18) Jiang Luo, Jin He*, Guangyin Feng, Anak Agung Alit Apriyana, andHao Yu*,“A D-band Amplifier in 65 Bulk CMOS for Short Distance Data Center Communication”, IEEE Access, vol.6, pp.53191-53200, 2018. (doi: 10.1109/ACCESS.2018.**) (Impact Factor 3.56)
J77. (SR’18) Xiao-Lan Tang, Qingfeng Zhang*, Sanming Hu*, Shangkun Ge, Yifan Chen, andHao Yu, “Beam Steering Using Momentum-Reconfigurable Goubau Meta-Line Radiators”, Scientific Reports, vol.8, article#.11854, 2018. (doi: 10.1038/s41598-018-29507-2) (Impact Factor 5.58)
J76. (TCAS1’18) Shunli Ma, Junyan Ren, Jane Gu andHao Yu, “A5-10Gbps 12.5 mW Source Synchronous I/O Interface with 3D Flip Chip Package”,IEEE Transactions on Circuits and Systems I, vol.66, no.2, pp.555-568, 2018. (doi: 10.1109/TCSI.2018.**)(Impact Factor 2.80)
J75. (PRS’18) Joy Soumitra Roy*,Yu Hao, Mazumder Pinaki, “Properties of spoof plasmon in thin structures”, Proceedings of The Royal Society A-Mathematical Physical and Engineering Sciences, vol. 474, no. 2220, article#.**, 2018. (doi: 10.1098/rspa.2018.0205)(Impact Factor 2.818)
J74. (TMTT’18) Shunli Ma,Hao Yu, Qun Jane Gu, Junyan Ren, “A 7.52-dB Noise Figure 128.75-132.25-GHz Super-Regenerative Receiver With 0.615-fW/√Hz NEP by Coupled Oscillator Networks for Portable Imaging System in 65-nm CMOS”, IEEE Transactions on Microwave Theory and Techniques, vol.66, no.9, pp.4095-4107, 2018. (doi: 10.1109/TMTT.2018.**)(Impact Factor 3.18)
J73. (TBCAS’18) Yu Jiang, Xu Liu, Tran Chien Dang, Xiwei Huang, Hao Feng, Qing Zhang, andHao Yu*,“A High-Sensitivity Potentiometric 65-nm CMOS ISFET Sensor for Rapid E. coli Screening”, IEEE Transaction on Biomedical Circuits and Systems, vol.12, no.2, pp.402-415, 2018. (doi: 10.1109/TBCAS.2018.**) (Impact Factor 3.50)
J72. (TODAES’18) Hantao Huang, Hang Xu,Yuehua Cai, Rai Sulman Khalid andHao Yu, “Distributed Machine Learning on Smart-gateway Network towards Real-time Smart-grid Energy Management with Behavior Cognition”, ACM Transactions on Design Automation of Electronic Systems, vol.23, no.5, article#.56, 2018. (doi: 10.1145/**)
J71. (JETC’18) Y. I. Li, Liu, Z. , Xu, K. ,Yu, H., and Ren, F. , “A GPU-Outperforming FPGA Accelerator Architecture for Binary Convolutional Neural Networks”, ACM Journal on Emerging Technologies in Computing, vol. 14, no. 2, pp.8-16, 2018. (doi: 10.1145/**) (Impact Factor 2.055)
J70. (Photonics’17) G.Liang, Y. Zeng, X. Hu,H. Yu, H. Liang, Y. Zhang, L. Li, A. G. Davies, E. H. Linfield, and Q. J. Wang, “Monolithic Semiconductor Lasers with Dynamically Tunable Linear-to-Circular Polarization”, ACS Photonics, vol.4, no.3,pp.517-524, 2017. (doi: 10.1021/acsphotonics.6b00703)(Impact Factor 6.76)
J69. (DT’17) Dongjun Xu, Sai Manoj P. D., Hantao Huang, Ningmei Yu, andHao Yu, “Q-Learning based Voltage-swing Tuning and Compensation for 2.5D Memory-Logic Integration”, IEEE Design & Test, vol. 35, no. 2, pp. 91-99, 2017. (doi: 10.1109/MDAT.2017.**)
J68. (TNANO’17) Hantao Huang,Leibin Ni, Kanwen Wang, Yuangang Wang, andHao Yu, “A Highly-parallel and Energy-efficient 3D Multi-layer CMOS-RRAM Accelerator for Tensorized Neural Network”,IEEE Transactions on Nanotechnology, vol.17, no.4, pp.645-656, 2017 (doi: 10.1109/TNANO.2017.**)(Impact Factor 2.86)
J67. (TBCAS’17) Xu Liu, Xiwei Huang, Yu Jiang, Hang Xu, Jing Guo, Han Wei Hou, Mei Yan, andHao Yu*,“A Microfluidic Cytometer for Complete Blood Count with a 3.2-Megapixel, 1.1-μm-pitch Super-Resolution Image Sensor in 65-nm BSI CMOS”, IEEE Transaction on Biomedical Circuits and Systems, vol.11, no.4, pp. 794-803, 2017. (doi: 10.1109/TBCAS.2017.**) (Impact Factor 3.15)
J66. (JxCDC’17). Leibin Ni, Zichuan Liu, Rajiv V. Joshi andHao Yu,"An Energy-efficient Digital ReRAM-crossbar based CNN with Bitwise Parallelism," IEEE Journal of Exploratory Solid-State Computational Devices and Circuits, vol.11, pp.37-46, 2017. (doi: 10.1109/JXCDC.2017.**)
J65. (JSSC’17) Dongsuk Jeon, Qing Dong, Yejoong Kim, Xiaolong Wang, Shuai Chen, Hao Yu, David Blaauw, Dennis Sylvester, “A 23mW Face Recognition Processor with Mostly-Read 5T Memory in 40nm CMOS”. IEEE Journal of Solid-State Circuits (JSSC) , vol.52, no.6, pp.1628-1642, January 2017 (doi:10.1109/JSSC.2017.**)(Impact Factor 3.29)
J64. (TMTT’16) Yuan Liang,Hao Yu, Guangyin Feng, Anak Agung Alit Apriyana, Xiaojian Fu, and Tiejun Cui, “An Energy-efficient and Low Crosstalk Sub-THz I/O by Surface Plasmonic Polariton Interconnect in CMOS”, IEEE Transactions on Microwave Theory and Techniques, vol.65, no.8, pp. 2762-2774, December 2016. (doi: 10.1109/TMTT.2017.**)(Impact Factor 2.24)
J63. (TCAS1’16) Sai Manoj P. D, Jie Lin, Shikai Zhu, Yingying Yin, Xu Liu, Xiwei Huang, Chongshen Song, Wenqi Zhang, Mei Yan, Zhiyi Yu, andHao Yu, “A Scalable Network-on-Chip Microprocessor with 2.5D Integrated Memory and Accelerator”,IEEE Transactions on Circuits and Systems I, vol.64, no.6, pp.1432-1443, December 2016. (doi: 10.1109/TCSI.2016.**)(Impact Factor 2.30)
J62. (JETC’16).Leibin Ni, Hantao Huang, Zichuan Liu, Rajiv V. Joshi andHao Yu*, “Distributed In-Memory Computing on Binary RRAM Crossbar”, ACM Journal on Emerging Technologies in Computing System, vol.13, no.3, October 2016. (doi: 10.1145/**)
J61. (SENS’16)Xiwei Huang, Yu Jiang, Xu Liu, Hang Xu, Mei Yan*, andHao Yu, “Machine Learning based Single-frame Super-Resolution Processing for Lensless Blood Cell Counting”, MDPI Sensors, vol.16, no.11, 2016.(Special Issue)(doi:10.3390/s**)(Impact Factor 2.05)
J60. (TBCAS’16) Yuhao Wang, Xin Li, Kai Xu, Fengbo Ren, andHao Yu,“Data-driven Sampling Matrix Boolean Optimization for Energy-Efficient Biomedical Signal Acquisition by Compressive Sensing”, IEEE Transaction on Biomedical Circuits and Systems, vol.11, no.2, pp. 255-266, 2016. (doi: 10.1109/TBCAS.2016.**) (Impact Factor 3.15)
J59. (SR’16) Yuan Liang,Hao Yu*, Jincai Wen, Anak Agung Alit Apriyana, Nan Li, Yu Luo, and Lingling Sun, “On-chip sub-terahertz surface plasmon polariton transmission lines with mode converter in CMOS”, Scientific Reports, vol.6, article#.30063, July 2016. (doi: 10.1038/srep30063) (Impact Factor 5.58)
J58. (TIFS’16) Yuhao Wang, Leibin Ni, Chip-Hong Chang andHao Yu*, “DW-AES: A Domain-wall Nanowire based AES for High Throughput and Energy-efficient Data Encryption in Non-volatile Memory”, IEEE Transactions on Information Forensics & Security, vol.11, no.11, pp. 2426-2440, 2016. (doi: 10.1109/TIFS.2016.**)(Impact Factor 2.40)
J57. (TCAD’16-2) Hantao Huang, Yuehua Cai, Hang Xu andHao Yu, “A Multi-agent Minority-game based Demand-response Management of Smart Buildings towards Peak Load Reduction”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.36, no.4, pp. 573-585, 2016. (doi: 10.1109/TCAD.2016.**).
J56. (TCAD’16-1) Leibin Ni, Sai Manoj P. D., Yang Song, Chenjie Gu, andHao Yu, “A Zonotoped Macromodeling for Eye-diagram Verification of High-speed I/O Links with Jitter and Parameter Variations," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.35, no.6, pp.1040-1051, June 2016. (doi: 10.1109/TCAD.2015.**).
J55. (TMTT’16) Yang Shang,Hao Yu, Yuan Liang, Xiaojun Bi, and Muthukumaraswamy Annamalai, “Millimeter-wave Sources at 60 GHz and 140 GHz by Magnetic Plasmon Waveguide based In-phase Coupled Oscillator Network in 65-nm CMOS”, IEEE Transactions on Microwave Theory and Techniques, vol.64, no.5, pp.1560-1571, May 2016. (doi: 10.1109/TMTT.2016.**)(Impact Factor 2.24)
J54. (JJAP’16). Lin Zhang, Hong Yu Li, Yang Shang, Woosik Yoo,Hao Yu, and Chuan Seng Tan, “Novel integration of ultrathin Al2O3with low-k dielectric as bilayer liner for capacitance optimization and stress mitigation in Cu through-silicon-via”, Japanese Journal of Applied Physics,vol.55, no.45, pp.04–08,2016. (doi: 10.7567/JJAP.55.04EC08).
J53. (TC’16) Sai Manoj P.D.,Hao Yu, Hantao Huang and Dongjun Xu, “A Q-Learning based Self-adaptive I/O Communication for 2.5D Integrated Many-core Microprocessor and Memory”, IEEE Transactions on Computers, vol.65, no.4, pp.1185-1196, April 2016.(doi: 10.1109/TC.2015.**).
J52. (IET’16) Nan Li*,Hao Yu, Yuan Liang, Wei Fei, Xiuping Li and Xiong Liu, “Complementary metal–oxide–semiconductor 60 GHz power amplifier by in-phase power combining and digitally assisted power back-off efficiency”, IET Microwaves, Antennas & Propagation, vol.10, no.1, pp.79-87, January 2016. (doi: 10.1049/iet-map.2015.0182)
J51. (TNANO’15) Yuhao Wang,Hao Yu, Leibin Ni, Mei Yan, Guangbin Huang, Chuliang Weng, Wei Yang and Junfeng Zhao, “An Energy-efficient Nonvolatile In-memory Computing Architecture for Extreme Learning Machine by Domain-wall Nanowire Devices”, IEEE Transactions on Nanotechnology (TNANO),vol.14, no.6,pp.998-1012, November 2015. (Top-10 Downloaded Article in November 2015) (doi: 10.1109/TNANO.2015.**)
J50. (TC’15) Sai Manoj P.D.,Hao Yu*and Kanwen Wang, “3D Many-core Microprocessor Power Management by Space-Time Multiplexing based Demand-supply Matching”, IEEE Transactions on Computers, vol.64, no.11, pp.3022–3036, November 2015. (doi: 10.1109/TC.2015.**)
J49. (DT’15-1) Xiwei Huang,Hao Yu, Xu Liu, Yu Jiang, and Mei Yan, “A Single-frame Super-resolution Algorithm for Lab-on-a-chip Lensless Microfluidic Imaging”, IEEE Design & Test, vol.32, no.6, pp.32-40, November 2015. (doi: 10.1109/MDAT.2015.**)(Special Issue)
J48. (SSE’15) Nan L,Hao Yu*, Chang Yang, Yang Shang, Xiuping Li, and Xiong Liu, “A High-sensitivity 135 GHz Millimeter-wave Imager by Compact Split-ring-resonator in 65-nm CMOS”, Elsevier Solid State Electronics, vol.113, pp.54–60, November 2015. (doi: 10.1016/j.sse.2015.05.006) (Special Issue)
J47. (SR’15) Yuan Liang,Hao Yu*, Haochi Zhang, Chang Yang, and Tiejun Cui, “On-chip sub-terahertz surface plasmon polariton transmission lines in CMOS”, Scientific Reports, vol.5, article#. 14853, October 2015. (doi: 10.1038/srep14853)(Impact Factor 5.58)
J46. (VLSI’15) Xiwei Huang, Xiaolong Wang, Mei Yan,Hao Yu*, "A Robust Recognition Error Recovery for Micro-flow Cytometer by Machine-learning Enhanced Single-frame Super-resolution Processing," Elsevier Integration, the VLSI Journal, vol. 51, pp.208-215, September 2015.(doi: 10.1016/j.vlsi.2014.07.004) (Special Issue)
J45. (TBME’15) Xiwei Huang,Hao Yu*, Xu Liu, Yu Jiang, Mei Yan, and Dongping Wu, “A Dual-mode Large-arrayed CMOS ISFET Sensor for Accurate and High-throughput pH Sensing in Biomedical Diagnosis”, IEEE Transactions on Biomedical Engineering (TBME), vol.62, no.9, pp.2224–2233, September 2015.(doi: 10.1109/TBME.2015.**) (Featured Article in September 2015)(Impact Factor 2.23)
J44. (TED’15) Chang Yang,Hao Yu, Yang Shang, and Wei Fei, “Characterization of CMOS Metamaterial Transmission Line by Compact Fractional-order Equivalent Circuit Model”, IEEE Transactions on Electronic Devices, vol.62, no.9, pp.3012–3018, September 2015. (doi: 10.1109/TED.2015.**)(Impact Factor 2.40)
J43. (DT’15-2) Dongjun Xu, Sai Manoj P. D., Kanwen Wang, Ningmei Yu, Mingbin Yu andHao Yu, “A 2.5D Memory-logic Integration with Data-pattern Aware Memory Controller”, IEEE Design & Test, 2015. vol.32, no.4, pp.49–58, August 2015.(doi: 10.1109/MDAT.2015.**) (Special Issue) (Top-10 Downloaded Article in August 2015)
J42. (RCS’15) Jinhong Guo, Xiwei Huang, Dongyuan Shi,Hao Yu, Ye Ai, Changming Li*, and Yuejun Kang, “Portable Resistive Pulse-Activated Lens-Free Cell Imaging System,” RSC Advances, vol.4, no.99, pp.56342-56345, 2015. (doi: 10.1039/C4RA10481A) (Impact Factor 3.71)
J41. (TVLSI’15) Xuexin Liu,Hao Yu, and Sheldon X. D. Tan, "A GPU-Accelerated Parallel Shooting Algorithm for Analysis of Radio Frequency and Microwave Integrated Circuits," IEEE Transactions on Very Large Scale Integration Systems, vol.23, no.3, pp.480–492, February 2015. (doi: 10.1109/TVLSI.2014.**)
J40. (SENS’15) Ismail Cevik, Xiwei Huang,Hao Yu, Mei Yan, Suat Ay*, “An Ultra-Low Power CMOS Image Sensor with On-Chip Energy Harvesting and Power Management Capability”, MDPI Sensors vol. 15, no.3, pp.5531-5554, 2015. (doi: 10.3390/s)(Impact Factor 2.05)
J39. (TMTT’14-2) Shuli Ma,Hao Yu, and Junyan Ren, “A 32.5 GS/s Sampler with Time-Interleaved Track-and-Hold Amplifier in 65 nm CMOS”, IEEE Transactions on Microwave Theory and Techniques, vol.62, no.12, pp.3500-3511, December 2014. (doi: 10.1109/TMTT.2014.**)(Impact Factor 2.94)
J38. (TMTT’14-1) Yang Shang,Hao Yu, Sanming Hu, Yuan Liang, Xiaojun Bi, and Muthukumaraswamy Annamalai, “High-sensitivity CMOS Super-regenerative Receiver with Quench-controlled High-Q Metamaterial Resonator for Millimeter-wave Imaging at 96 and 135 GHz”, IEEE Transactions on Microwave Theory and Techniques, vol.62, no.12, pp.3095-3106, December 2014. (doi: 10.1109/TMTT.2014.**)(Impact Factor 2.94)
J37. (TTHZ’14) Yang Shang,Hao Yu, Haipeng Fu, and Wei Meng Lim, "A 239-281GHz CMOS Receiver with On-chip Circular-polarized Substrate Integrated Waveguide Antenna for Sub-terahertz Imaging", IEEE Transactions on Terahertz Science and Technology, vol.4, no.6, pp.686-695, November 2014. (doi: 10.1109/TTHZ.2014.**) (Top-10 Downloaded Article in November 2014)(Impact Factor 4.34)
J36. (PLOS-ONE’14) Xiwei Huang, Jinhong Guo, Xiaolong Wang, Mei Yan, Yuejun Kang, andHao Yu, "A Contact-imaging based Microfluidic Cytometer with Machine-learning for Single-frame Super-resolution Processing," PLOS ONE, vol.9, no.8, August 2014. (doi: 10.1371/journal.pone.**) (Impact Factor 3.71)
J35. (DT’14) Fang Gong, Yiyu Shi,Hao Yuand Lei He "Variability-Aware Parametric Yield Estimation: Concepts, Algorithms and Challenges," IEEE Design & Test, vol.22, no.5, pp.6-15, August 2014. (doi: 10.1109/MDAT.2014.**) (Top-10 Downloaded Article in August 2014)
J34. (TVLSI’14-1) Yuhao Wang,Hao Yu, and Wei Zhang, “3D Integrated Hybrid Memory for Data Retention by Non-volatile CBRAM-Crossbar”, IEEE Transactions on Very Large Scale Integration Systems, vol.22, no.5, pp.957-970, May 2014. (doi: 10.1109/TVLSI.2013.**)
J33. (TCAD’14) Yang Song,Hao Yu, and Sai Manoj P. D., "Reachability-based Robustness Verification and Optimization of SRAM Dynamic Stability under Process Variations," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.33, no.4, pp.585-598, April 2014. (doi: 10.1109/TCAD.2014.**)
J32. (TCAS1’14) Wei Fei,Hao Yu, Haipeng Fu, Junyan Ren, and Kiat Seng Yeo, “Design and Analysis of Wide Frequency-tuning-range CMOS 60GHz VCO by Switching Inductor Loaded Transformer”, IEEE Transactions on Circuits and Systems I, vol.61, no.3, pp.699-711, March 2014. (doi: 10.1109/TCSI.2013.**) (Top-10 Downloaded Article in March 2014)(Impact Factor 2.30)
J31. (TCAD’13) Sai Manoj P.D.,Hao Yu, Yang Shang, Chuan Seng Tan, and Sung Kyu Lim, “Reliable 3D Clock-tree Synthesis Considering Nonlinear Capacitive TSV Model with Electrical-thermal-mechanical Coupling”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol.32, no.11, pp.1734-1747, November 2013. (doi: 10.1109/TCAD.2013.**)
J30. (TMTT’13-3) Deyun Cai, Yang Shang,Hao Yu, and Junyan Ren, "Design of Ultra-low Power 60 GHz Direct-conversion Receivers in 65nm CMOS", IEEE Transactions on Microwave Theory and Techniques, vol.61, no.9, pp.3360-3372, September 2013. (doi: 10.1109/TMTT.2013.**) (Special Issue)(Impact Factor 2.94)
J29. (JETCAS’13) Yang Shang,Hao Yu, and Wei Fei, “Design and Analysis of CMOS based Terahertz Integrated Circuits by Causal Fractional-order RLGC Transmission Line Model”, IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol.3, no.3, pp.355-366, September 2013. (doi: 10.1109/JETCAS.2013.**) (Special Issue)(Impact Factor 3.433)
J28. (TMTT’13-2) Yang Shang,Hao Yu, Deyun Cai, Junyan Ren, and Kiat Seng Yeo, “Design of High-Q Millimeter-wave Oscillator by Differential Transmission Line Loaded with Metamaterial Resonator in 65nm CMOS”, IEEE Transactions on Microwave Theory and Techniques, vol.61, no.5, pp.1892-1902, May 2013. (doi: 10.1016/j.snb.2013.02.065) (IEEE MTT/AP Singapore chapter best student paper award 2014)(Impact Factor 2.94)
J27. (Sensors-B’13) Tze Sian Pui, Yu Chen, Chee Chung Wong, Revanth Nadipalli, Roshan Weerasekera,Hao Yu, and Abdur R. A. Rahman, "High Density CMOS Electrode Array for High-throughput Label-free Cancer Cell Detection and Enumeration", Elsevier Sensors and Actuators B: Chemical, vol.181, pp.842-849, May 2013 (doi: 10.1016/j.snb.2013.02.065)(Impact Factor 3.84).
J26. (DT’13) Wei Wu, Fang Gong,Hao Yu, Lei He. "Exploiting Parallelism in EDA Simulation Algorithms by Data Dependency Elimination", IEEE Design & Test, vol.30, no.1, pp.26-35, February 2013. (doi: 10.1109/MDT.2012.**)
J25. (TCAS2’13) Wei Fei,Hao Yu, Yang Shang, Deyun Cai, and Junyan Ren, “A 96 GHz Oscillator by High-Q Differential Transmission Line loaded with Complementary Split Ring Resonator in 65nm CMOS”,IEEE Transactions on Circuits and Systems II, vol.60, no.3, pp.127-131, March 2013. (doi: 10.1109/TCSII.2013.**)
J24. (TMTT’13-1) Wei Fei,Hao Yu, Yang Shang, and Kiat Seng Yeo, “A 2D Distributed Power Combining by Metamaterial-based Zero-Phase-Shifter for 60GHz Power Amplifier in 65nm CMOS”, IEEE Transactions on Microwave Theory and Techniques, vol.61, no.1, pp.505-516, January 2013. (doi: 10.1109/TMTT.2012.**)(Impact Factor 2.94)
J23. (TCAS1’13) Deyun Cai, Haipeng Fu, Junyan Ren, Wei Li, Ning Li,Hao Yu, and Kiat Seng Yeo, "A Dividerless PLL with Low Power and Low Reference Spur by Aperture- Phase Detector and Phase-to-Analog Converter", IEEE Transactions on Circuits and Systems I, vol.60, no.1, pp.37-50, January 2013. (doi: 10.1109/TCSI.2012.**)(Impact Factor 2.30)
J22. (TCAD’13-1) Fang Gong, Sina Basir-Kazeruni,Hao Yu, Lei He, "Stochastic Behavioral Modeling Analysis of Analog/Mixed-Signal Circuits", IEEE Transactions on Computer-aided-design of Integrated Circuits and Systems, vol.32, no.1, pp.24-33, January 2013. (doi: 10.1109/TCAD.2012.**)
J21. (VLSI’13-2) Sina Basir-Kazeruni,Hao Yu*, Fang Gong, Yu Hu, Chunchen Liu, and Lei He, "SPECO: Stochastic Perturbation based Clock Tree Optimization Considering Temperature Uncertainty", Elsevier Integration, the VLSI Journal (Special Issue), vol.46, no.1, pp.22-32, January 2013. (doi: 10.1016/j.vlsi.2012.04.004)
J20. (VLSI’13-1) Hanhua Qian, Chiphong Chang, andHao Yu, "An Efficient Channel Clustering and Flow Rate Allocation Algorithm for Non-uniform Microfluidic Cooling of 3D Integrated Circuits", Integration, the VLSI Journal (Special Issue), vol.46, no.1, pp.57-68, January 2013. (doi: 10.1016/j.vlsi.2011.12.005)
J19. (Sensors-B’12) Yu Chen*, Chee Chung Wong, Tze Sian Pui, Revanth Nadipalli, Roshan Weerasekera, Jegatha Chandran,Hao Yu, and Abdur R. A. Rahman, "CMOS High Density Electrical Impedance Biosensor Array for Tumor Cell Detection", Elsevier Sensors and Actuators B: Chemical, vol.173, pp.903-907, October 2012. (doi: 10.1016/j.snb.2012.07.024) (Impact Factor 3.84).
J18. (TCAS1’12) Yang Shang, Wei Fei, andHao Yu, "Analysis and Modeling of Internal State Variables for Dynamic Effects of Nonvolatile Memory Devices", IEEE Transactions on Circuits and Systems I, vol.59, no.9, pp.1906-1918, September 2012. (doi: 10.1109/TCSI.2011.**)(Impact Factor 2.30)
J17. (TVLSI’12-2) Fang Gong,Hao Yu, Lingli Wang, and Lei He, "A Parallel and Incremental Extraction of Variational Capacitance with Stochastic Geometric Moments ", IEEE Transactions on Very Large Scale Integration Systems, vol.20, no.9, pp.1729-1737, September 2012. (doi: 10.1109/TVLSI.2011.**)
J16. (VLSI’12) Hai Wang,Hao Yu, and Sheldon X.D. Tan, “Fast Timing Analysis of Clock Networks Considering Environmental Uncertainty", Integration, the VLSI Journal, vol.45, no.4, pp.376-387, September 2012. (doi: 10.1016/j.vlsi.2011.03.001)
J15. (EL’12) Deyun Cai, Yang Shang,Hao Yu, Junyan Ren, “An 80GHz On-Chip Metamaterial Resonator by Differential Transmission Line Loaded with Split Ring Resonator”, IET Electronics Letter, vol.48, no.18, pp.1128-1130, August 2012. (doi: 10.1049/el.2012.1120)
J14. (TVLSI’12-1) Wei Fei,Hao Yu, Wei Zhang, and Kiat Seng Yeo, "Design Exploration of Hybrid CMOS and Memristor Circuit by New Modified Nodal Analysis", IEEE Transactions on Very Large Scale Integration Systems, vol.20, no.6, pp.1012-1025, June 2012. (doi: 10.1109/TVLSI.2011.**)
J13. (TNANO’12) Xiwei Huang, Chun Zhang,Hao Yuand Wei Zhang, “A Nano-Electro- Mechanical-Switch based Thermal Management for 3D Integrated Many-core Memory- Processor System”, IEEE Transactions on Nanotechnology, vol.11, no.3, pp.588-600, May 2012. (doi: 10.1109/TNANO.2012.**)
J12. (TODAES’12) Fang Gong, Xuexin Liu,Hao Yu, Sheldon X.D. Tan, Junyan Ren and Lei He, "A Fast Non-Monte-Carlo Yield Analysis and Optimization by Stochastic Orthogonal Polynomials", ACM Transactions on Design Automation of Electronic Systems, vol.17, no.1, January 2012. (doi: 10.1145/**.**)
J11. (TCAS2’11) Xiaoming Chen, Wei Wu, Yu Wang,Hao Yu, and Huazhong Yang, "An EScheduler based Data Dependency Analysis and Task Scheduling for Parallel Circuit Simulation", IEEE Transactions on Circuits and Systems II, vol.58, no.10, pp.702-706, October 2011. (doi: 10.1109/TCSII.2011.**)
J10. (JOLPE’11) Hanhua Qian, Xiwei Huang,Hao Yu*, and Chiphong Chang, "Cyber- physical Thermal Management of 3D Multi-core Cache-Processor System with Microfluidic Cooling", Journal of Low Power Electronics, vol. 7, no.1, pp.110-121, February 2011. (doi: 10.1166/jolpe.2011.1121)
J9. (TVLSI’10)Hao Yu, Chunta Chu, Yiyu Shi, David Smart, Lei He and Sheldon X.D. Tan, "Fast Analysis of Large Scale Inductive Interconnect by Block Structure Preserved Macromodeling", IEEE Transactions on Very Large Scale Integration Systems, vol.18, no.10, pp.1399-1411, October 2010. (doi: 10.1109/TVLSI.2009.**)
J8. (DTC’09)Hao Yu, Lei He, and M.C.Frank Chang, "Robust On-chip Signaling using Staggered and Twisted Interconnect", IEEE Design and Test of Computers, vol.26, no.5, pp.92-104, September 2009. (doi: 10.1109/MDT.2009.121) (SRC inventor award 2009)
J7. (TODAES’09)Hao Yu, Joanna Ho and Lei He, "Allocating Power Ground Vias in 3D ICs for Simultaneous Power and Thermal Integrity", ACM Transactions on Design Automation of Electronic Systems, vol.14, no.3, May 2009. (doi: 10.1145/**.**) (Transaction Best Paper Award 2010)
J6. (TVLSI’08)Hao Yu, Yiyu Shi, Lei He, and Tanay Karnik, "Thermal Via Allocation for 3D ICs Considering Temporally and Spatially Variant Thermal Power", IEEE Transactions on Very Large Scale Integration Systems, vol.16, no.12, pp.1609-1619, December 2008. (doi: 10.1109/TVLSI.2008.**)
J5. (TODAES’07) Yiyu Shi, Paul Mesa,Hao Yu, and Lei He, "Circuit Simulated Obstacle- Aware Steiner Routing", ACM Transactions on Design Automation of Electronic Systems, vol.12, no.3, August 2007. (doi: 10.1109/DAC.2006.229212)
J4. (TCAD’06) Zhenyu Qi,Hao Yu, Pu Liu, Sheldon X.-D. Tan, and Lei He, "Wideband Passive Multi-Port Model Order Reduction and Realization of RLCM Circuits", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.25, no.8, pp.1496-1509, August 2006. (doi: 10.1109/TCAD.2005.855937)
J3. (TCAD’05)Hao Yu, and Lei He, "A Provably Passive and Cost Efficient Model for Inductive Interconnects", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.24, no.8, pp.1283-1294, August 2005. (doi: 10.1109/TCAD.2005.850820)
J2. Jens Jensen, R. Chandra, andHao Yu, "Quantitative model for the Interecho Time Dependence of the CPMG Relaxation Rate in Iron-rich Gray Matter", Magnetic Resonance in Medicine, vol. 46, no.1, pp.159-165, July 2001. (doi: 10.1002/mrm.1171) (Impact Factor 3.27).
J1. Chu-Shun Tian,Hao Yu, Chi Zhang, and Quan-Kang Lu, "The First Principle Calculation of Green-Kubo Formula with the Two-Time Ensemble Technique", Communications in Theoretical Physics, vol.35, no.04, pp.412-416, April 2001.




相关话题/南方科技大学 微电子

  • 领限时大额优惠券,享本站正版考研考试资料!
    大额优惠券
    优惠券领取后72小时内有效,10万种最新考研考试考证类电子打印资料任你选。涵盖全国500余所院校考研专业课、200多种职业资格考试、1100多种经典教材,产品类型包含电子书、题库、全套资料以及视频,无论您是考研复习、考证刷题,还是考前冲刺等,不同类型的产品可满足您学习上的不同需求。 ...
    本站小编 Free壹佰分学习网 2022-09-19
  • 南方科技大学深港微电子学院导师教师师资介绍简介-刘晓光
    刘晓光教授个人简介个人主页刘晓光教授于2004年在浙江大学竺可桢学院获得学士学位,并于2010年在美国普度大学获得电机及计算机工程学博士学位。他于2011年11月以助理教授的身份加入加州大学戴维斯分校电机与计算机工程系,于2017年晋升终身(tenured)副教授,并于2021年3月以长聘正教授的身 ...
    本站小编 Free考研考试 2021-06-12
  • 南方科技大学思想政治教育与研究中心导师教师师资介绍简介-乐正
    乐正GoogleScholarResearcherID教授思想政治教育与研究中心乐正,南方科技大学思政中心教授,国务院特殊津贴专家。曾任中山大学教研室主任,深圳社科院院长、社科联主席,深圳市委副秘书长,市委政研室、市委改革办主任,市政协常委、文史学习委主任。长期研究中国近代史和社会发展理论,主持深圳 ...
    本站小编 Free考研考试 2021-06-12
  • 南方科技大学深港微电子学院导师教师师资介绍简介-陈凯
    陈凯产学研教授个人简介个人主页陈凯教授博士毕业于美国加州大学伯克利分校电子工程与计算机科学系,曾任职于美国国家半导体公司(现德克萨斯仪器)费尔柴尔德研究中心和IBM半导体研发中心,并有近20年在中美两国的创业经历。2021年加入南方科技大学,任深港微电子学院产学研教授和未来通信集成电路教育部工程研究 ...
    本站小编 Free考研考试 2021-06-12
  • 南方科技大学环境科学与工程学院导师教师师资介绍简介-雷洋
    雷洋GoogleScholarResearcherID助理教授环境科学与工程学院雷洋,瓦赫宁根大学博士,欧盟玛丽居里****。2021年2月加入南方科技大学,任助理教授,博士生导师,独立PI。研究方向为废水处理与资源化。在环境领域主流期刊发表论文18篇,其中9篇为ES&T、WaterResearch ...
    本站小编 Free考研考试 2021-06-12
  • 南方科技大学深港微电子学院导师教师师资介绍简介-吕正红
    吕正红院士、访问教授个人简介个人主页吕正红,男,汉族,1962年6月22日生于云南省昆明市嵩明县。先后毕业于云南大学物理系、加拿大蒙特利尔大学工程物理系。多伦多大学终身教授,加拿大国家CRC一级教授。2016年当选美国AAASFellow,2018年入选加拿大工程院(CAEFellow)院士。教育经 ...
    本站小编 Free考研考试 2021-06-12
  • 南方科技大学生物系导师教师师资介绍简介-梁超
    梁超GoogleScholarResearcherID副教授生物系2016年博士毕业于香港浸会大学,2016-2020年在香港浸会大学做博士后及研究助理教授,2020年起受聘于南方科技大学生物系副教授。长期致力于骨关节相关疾病以及肿瘤的机制研究、转化医学和精准医学。个人简介个人简介研究领域骨关节相关 ...
    本站小编 Free考研考试 2021-06-12
  • 南方科技大学深港微电子学院导师教师师资介绍简介-汪飞
    汪飞副教授个人简介个人主页汪飞博士,2008年加入丹麦科技大学从事博士后研究,2010年破格擢升为助理教授。2013年加入南方科技大学担任副教授。入选广东省“特支计划”,深圳市“孔雀计划”B类人才,获得广东省自然科学****基金资助。担任传感技术国际顶级会议Transducers2017、Trans ...
    本站小编 Free考研考试 2021-06-12
  • 南方科技大学生物系导师教师师资介绍简介-梁建生
    梁建生GoogleScholarResearcherID教授生物系梁建生博士,南方科技大学生物系教授,博士生导师。1997年获香港浸会大学哲学博士学位。先后担任扬州大学生命科学院院长、书记、教务处处长。2012年加盟南方科技大学,先后担任教学工作部部长、人力资源部副部长(主持)等职务。在高校从教三十 ...
    本站小编 Free考研考试 2021-06-12
  • 南方科技大学深港微电子学院导师教师师资介绍简介-安丰伟
    安丰伟副教授个人简介个人主页安丰伟博士于2019年加入南方科技大学,任副教授。安丰伟博士的主要研究领域是基于计算机视觉的低功耗边缘人工智能芯片设计,具体包括图像处理、图像识别、机器学习的超大规模数字集成电路设计和系统集成,并有在工业界的研究开发经验。联系方式:anfw@sustech.edu.cn教 ...
    本站小编 Free考研考试 2021-06-12
  • 南方科技大学环境科学与工程学院导师教师师资介绍简介-梁修雨
    梁修雨GoogleScholarResearcherID助理教授(副研究员)环境科学与工程学院梁修雨,博士,南方科技大学助理教授、副研究员,博士生导师。2012年毕业于南京大学,获水文学及水资源专业博士学位。曾任南京大学水科学研究中心助理研究员、副研究员。主要从事地下水资源与环境方向的研究工作,具体 ...
    本站小编 Free考研考试 2021-06-12