删除或更新信息,请邮件至freekaoyan#163.com(#换成@)

清华大学学者发表论文列表_汪玉

本站小编 清华大学/2017-06-29

1.Technological Exploration of RRAM Crossbar Array for Matrix-Vector Multiplication

Xia, LX, Gu, P, Li, BX, Tang, TQ, Yin, XL, Huangfu, WQ, Yu, SM, Cao, Y, Wang, Y, Yang, HZ

JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY[1000-9000], Published 2016, Volume 31, Issue 1, Pages 3-19

收录情况: WOS SCOPUS

WOS核心合集引用:4 影响因子: 0.475 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

2.Exploring the Precision Limitation for RRAM-Based Analog Approximate Computing

Li, BX, Gu, P, Wang, Y, Yang, HZ

IEEE DESIGN & TEST[2168-2356], Published 2016, Volume 33, Issue 1, Pages 52-59

收录情况: WOS SCOPUS

影响因子: 0.681 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

3.Solar Power Prediction Assisted Intra-task Scheduling for Nonvolatile Sensor Nodes

Zhang, DM, Liu, YP, Li, JY, Xue, CJ, Li, XQ, Wang, Y, Yang, HZ

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[0278-0070], Published 2016, Volume 35, Issue 5, Pages 724-737

收录情况: WOS SCOPUS

WOS核心合集引用:4 影响因子: 1.181 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

4.Leveraging Stochastic Memristor Devices in Neuromorphic Hardware Systems

Hu, M, Wang, YD, Wen, W, Wang, Y, Li, H

IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS[2156-3357], Published 2016, Volume 6, Issue 2, Pages 235-246

收录情况: WOS SCOPUS

WOS核心合集引用:1 影响因子: 1.578 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

5.Harmonica: A Framework of Heterogeneous Computing Systems With Memristor-Based Neuromorphic Computing Accelerators

Liu, XX, Mao, MJ, Liu, BY, Li, BX, Wang, Y, Jiang, H, Barnell, M, Wu, Q, Yang, JH, Li, H, Chen, YR

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS[1549-8328], Published 2016, Volume 63, Issue 5, Pages 617-628

收录情况: WOS SCOPUS

WOS核心合集引用:1 影响因子: 2.393 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

6.A Unified Methodology for Designing Hardware Random Number Generators Based on Any Probability Distribution

Chen, XM, Li, BX, Wang, Y, Liu, YP, Yang, HZ

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS[1549-7747], Published 2016, Volume 63, Issue 8, Pages 783-787

收录情况: WOS SCOPUS

影响因子: 1.136 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

7.All Spin Artificial Neural Networks Based on Compound Spintronic Synapse and Neuron

Zhang, DM, Zeng, L, Cao, KH, Wang, MX, Peng, SZ, Zhang, Y, Zhang, YG, Klein, JO, Wang, Y, Zhao, WS

IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS[1932-4545], Published 2016, Volume 10, Issue 4, Pages 828-836

收录情况: WOS SCOPUS

WOS核心合集引用:2 影响因子: 2.018 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

8.Modeling Random Telegraph Noise as a Randomness Source and its Application in True Random Number Generation

Chen, XM, Wang, L, Li, BX, Wang, Y, Li, X, Liu, YP, Yang, HZ

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[0278-0070], Published 2016, Volume 35, Issue 9, Pages 1435-1448

收录情况: WOS SCOPUS

WOS核心合集引用:1 影响因子: 1.181 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

9.Integrated photonic emitter with a wide switching range of orbital angular momentum modes

Wang, Yu, Zhao, Peng, Feng, Xue, Xu, Yuntao, Cui, Kaiyu, Liu, Fang, Zhang, Wei, Huang, Yidong

Scientific Reports[2045-2322], Published 2016, Volume 6,

收录情况: WOS SCOPUS

WOS核心合集引用:1 影响因子: 5.228 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

10.4.7 A 65nm ReRAM-enabled nonvolatile processor with 6× reduction in restore time and 4× higher clock frequency using adaptive data retention and self-write-termination nonvolatile logic

Liu, Yongpan, Wang, Zhibo, Su, Fang, Yuan, Zhe, Wei, Qi, Wang, Yu, Yang, Huazhong, Lee, Albert, Lo, Chiehpu, Lin, Chienchen, King, Yachin, Lin, Chorngjung, Chang, Mengfan, Khalili, Pedram, Wang, Kanglung

Digest of Technical Papers - IEEE International Solid-State Circuits Conference[0193-6530], Published 2016, Volume 59, Pages 84-86

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

11.Scaling-up resistive synaptic arrays for neuro-inspired architecture: Challenges and prospect

Yu, Shimeng, Chen, Paiyu, Cao, Yu, Xia, Lixue, Wang, Yu, Wu, Huaqiang

Technical Digest - International Electron Devices Meeting, IEDM[0163-1918], Published 2016, Volume 2016-, Pages 17.3.1-17.3.4

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

12.Optical lattice induced by angular momentum and polygonal plasmonic mode

Wang, Yu, Xu, Yuntao, Feng, Xue, Zhao, Peng, Liu, Fang, Cui, Kaiyu, Zhang, Wei, Huang, Yidong

Optics Letters[0146-9592], Published 2016, Volume 41, Issue 7, Pages 1478-1481

收录情况: WOS SCOPUS

WOS核心合集引用:1 影响因子: 3.04 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

13.Going deeper with embedded FPGA platform for convolutional neural network

Qiu, Jiantao, Wang, Jie, Yao, Song, Guo, Kaiyuan, Li, Boxun, Zhou, Erjin, Yu, Jincheng, Tang, Tianqi, Wang, Yu, Yang, Huazhong, Song, Sen, Xu, Ningyi

FPGA 2016 - Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Published 2016, Pages 26-35

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

14.FPGP: Graph processing framework on FPGA: A case study of breadth-first search

Dai, Guohao, Chi, Yuze, Wang, Yu, Yang, Huazhong

FPGA 2016 - Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Published 2016, Pages 105-110

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

15.Sparsity-oriented sparse solver design for circuit simulation

Chen, Xiaoming, Xia, Lixue, Wang, Yu, Yang, Huazhong

Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016, Published 2016, Pages 1580-1585

收录情况: SCOPUS

找找相关文章


-----------------------------------------------------------------

16.MNSIM: Simulation platform for memristor-based neuromorphic computing system

Xia, Lixue, Li, Boxun, Tang, Tianqi, Gu, Peng, Yin, Xiling, Huangfu, Wenqin, Wang, Yu, Yang, Huazhong, Xie, Yuan, Chen, Paiyu, Yu, Shimeng, Cao, Yu

Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016, Published 2016, Pages 469-474

收录情况: SCOPUS

找找相关文章


-----------------------------------------------------------------

17.Switched by input: Power efficient structure for RRAM-based convolutional neural network

Xia, Lixue, Tang, Tianqi, Huangfu, Wenqin, Cheng, Ming, Yin, Xiling, Li, Boxun, Wang, Yu, Yang, Huazhong

Proceedings - Design Automation Conference[0738-100X], Published 2016, Volume 05-09-,

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

18.Global and regional cortical connectivity maturation index (CCMI) of developmental human brain with quantification of short-range association tracts

Ouyang, Minhui, Jeon, Tina, Huang, Hao, Mishra, Virendra, Du, Haixiao, Wang, Yu, Peng, Yun

Progress in Biomedical Optics and Imaging - Proceedings of SPIE[1605-7422], Published 2016, Volume 9788,

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

19.NXgraph: An efficient graph processing system on a single machine

Chi, Yuze, Dai, Guohao, Wang, Yu, Li, Guoliang, Yang, Huazhong, Sun, Guangyu

2016 IEEE 32nd International Conference on Data Engineering, ICDE 2016, Published 2016, Pages 409-420

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

20.Integrated nanophotonic devices for optical interconnections

Huang, Yidong, Feng, Xue, Cui, Kaiyu, Li, Yongzhuo, Wang, Yu

Proceedings of SPIE - The International Society for Optical Engineering[0277-786X], Published 2016, Volume 9742,

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

21.Low power Convolutional Neural Networks on a chip

Wang, Yu, Xia, Lixue, Tang, Tianqi, Li, Boxun, Yao, Song, Cheng, Ming, Yang, Huazhong

Proceedings - IEEE International Symposium on Circuits and Systems[0271-4310], Published 2016, Volume 2016-, Pages 129-132

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

22.Heterogeneous systems with reconfigurable neuromorphic computing accelerators

Li, Sicheng, Liu, Xiaoxiao, Mao, Mengjie, Li, Hai, Chen, Yiran, Li, Boxun, Wang, Yu

Proceedings - IEEE International Symposium on Circuits and Systems[0271-4310], Published 2016, Volume 2016-, Pages 125-128

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

23.PRIME: A Novel Processing-in-Memory Architecture for Neural Network Computation in ReRAM-Based Main Memory

Chi, Ping, Li, Shuangchen, Xie, Yuan, Xu, Cong, Zhang, Tao, Zhao, Jishen, Liu, Yongpan, Wang, Yu

Proceedings - 2016 43rd International Symposium on Computer Architecture, ISCA 2016, Published 2016, Pages 27-39

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

24.Angel-eye: A complete design flow for mapping CNN onto customized hardware

Guo, Kaiyuan, Sui, Lingzhi, Qiu, Jiantao, Yao, Song, Wang, Yu, Yang, Huazhong, Han, Song

Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI[2159-3469], Published 2016, Volume 2016-, Pages 24-29

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

25.Dynamically sculpturing plasmonic vortices: From integer to fractional orbital angular momentum

Wang, Yu, Zhao, Peng, Feng, Xue, Xu, Yuntao, Liu, Fang, Cui, Kaiyu, Zhang, Wei, Huang, Yidong

Scientific Reports[2045-2322], Published 2016, Volume 6,

收录情况: WOS SCOPUS

WOS核心合集引用:1 影响因子: 5.228 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

26.Approximate Frequent Itemset Mining for streaming data on FPGA

Li, Yubin, Sun, Yuliang, Dai, Guohao, Wang, Yu, Yang, Huazhong, Xu, Qiang

FPL 2016 - 26th International Conference on Field-Programmable Logic and Applications, Published 2016,

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

27.SRI-SURF: A better SURF powered by scaled-RAM interpolator on FPGA

Jia, Xijie, Guo, Kaiyuan, Wang, Yu, Yang, Huazhong, Wang, Wenqiang

FPL 2016 - 26th International Conference on Field-Programmable Logic and Applications, Published 2016,

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

28.RRAM based learning acceleration

Wang, Yu, Xia, Lixue, Cheng, Ming, Tang, Tianqi, Li, Boxun, Yang, Huazhong

Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2016, Published 2016,

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

29.Real-time pedestrian detection and tracking on customized hardware

Wang, Junbin, Yan, Ke, Guo, Kaiyuan, Yu, Jincheng, Sui, Lingzhi, Yao, Song, Wang, Yu, Han, Song

Proceedings of the 14th ACM/IEEE Symposium on Embedded Systems for Real-Time Multimedia, ESTIMedia 2016, Published 2016, Pages 1

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

30.Performance-centric register file design for GPUs using racetrack memory

Wang, Shuo, Liang, Yun, Zhang, Chao, Xie, Xiaolong, Sun, Guangyu, Li, Xiuhong, Liu, Yongpan, Wang, Yu

Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, Published 2016, Volume 25-28-, Pages 25-30

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

31.Widely switching the orbital angular momentum modes with integrated cobweb emitter

Wang, Yu, Zhao, Peng, Feng, Xue, Huang, Yidong

2016 21st OptoElectronics and Communications Conference, OECC 2016 - Held Jointly with 2016 International Conference on Photonics in Switching, PS 2016, Published 2016,

收录情况: SCOPUS

找找相关文章


-----------------------------------------------------------------

32.A data locality-aware design framework for reconfigurable sparse matrix-vector multiplication kernel

Li, Sicheng, Wang, Yandan, Chen, Yiran, Li, Hai, Wen, Wujie, Wang, Yu

IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD[1092-3152], Published 2016, Volume 07-10-,

收录情况: SCOPUS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

33.Energy efficient spiking neural network design with RRAM devices

Tang, Tianqi,Luo, Rong,Li, Boxun,Li, Hai,Wang, Yu,Yang, Huazhong

Proceedings of the 14th International Symposium on Integrated Circuits, ISIC 2014, Published 2015, Pages 268-271

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

34.GPU-Accelerated sparse lu factorization for circuit simulation with performance modeling

Chen, Xiaoming,Ren, Ling,Wang, Yu,Yang, Huazhong

IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS[1045-9219], Published 2015, Volume 26, Issue 3, Pages 786-795

收录情况: WOS

WOS核心合集引用:4 影响因子: 2.661 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

35.Technological exploration of RRAM crossbar array for matrix-vector multiplication

Gu, Peng,Li, Boxun,Tang, Tianqi,Yu, Shimeng,Cao, Yu,Wang, Yu,Yang, Huazhong

20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015, Published 2015, Pages 106-111

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

36.基于CAN总线的桥梁健康监测系统异构网络协议研究

李林,王鹏军,汪玉,杨华中

科学技术与工程[1671-1815], Published 2015, Issue 04, Pages 151-155

收录情况: CNKI

找找相关文章


-----------------------------------------------------------------

37.A high-level synthesis based dual-module redundancy with multi-residue detection (DMR-MRD) fault-tolerant method for on-board processing satellite communication systems

Yang, Wenhui,Chen, Xiang,Wang, Yu,Zhao, Ming,Wang, Jing

High Technology Letters[1006-6748], Published 2014, Volume 20, Issue 3, Pages 245-252

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

38.Accelerating frequent item counting with FPGA

Sun, Yuliang,Wang, Zilong,Huang, Sitao,Wang, Lanjun,Wang, Yu,Luo, Rong,Yang, Huazhong

ACM/SIGDA International Symposium on Field Programmable Gate Arrays - FPGA, Published 2014, Pages 109-112

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

39.Design Methodologies for 3D Mixed Signal Integrated Circuits: a Practical 12-bit SAR ADC Design Case

Liu, Wulong,Chen, Guoqing,Han, Xue,Wang, Yu,Xie, Yuan,Yang, Huazhong

2014 51ST ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC)[0738-100X], Published 2014, Pages -

收录情况: WOS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

40.DTW-based subsequence similarity search on AMD heterogeneous computing platform

Huang, Sitao,Dai, Guohao,Sun, Yuliang,Wang, Zilong,Wang, Yu,Yang, Huazhong

Proceedings - 2013 IEEE International Conference on High Performance Computing and Communications, HPCC 2013 and 2013 IEEE International Conference on Embedded and Ubiquitous Computing, EUC 2013, Published 2014, Pages 1054-1063

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

41.Efficient Region-aware P/G tsv planning for 3d ics

Yao, Song,Chen, Xiaoming,Wang, Yu,Ma, Yuchun,Xie, Yuan,Yang, Huazhong

PROCEEDINGS OF THE 2014 FIFTEENTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN (ISQED 2014)[1948-3287], Published 2014, Pages 171-178

收录情况: WOS

WOS核心合集引用:1 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

42.Enabling fpgas in the cloud

Chen, Fei,Shan, Yi,Zhang, Yu,Wang, Yu,Franke, Hubertus,Chang, Xiaotao,Wang, Kun

Proceedings of the 11th ACM Conference on Computing Frontiers, CF 2014, Published 2014,

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

43.Energy efficient neural networks for big data analytics

Wang, Yu,Li, Boxun,Luo, Rong,Chen, Yiran,Xu, Ningyi,Yang, Huazhong

Proceedings -Design, Automation and Test in Europe, DATE[1530-1591], Published 2014,

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

44.Exploration of Electrical and Novel Optical Chip-to-Chip Interconnects

Liu, Wulong,Wang, Yu,Wang, Yu,Feng, Xue,Huang, Yidong,Yang, Huazhong,Chen, Guoqing,Xie, Yuan

IEEE DESIGN & TEST[2168-2356], Published 2014, Volume 31, Issue 5, Pages 28-35

收录情况: WOS

WOS核心合集引用:3 影响因子: 0.681 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

45.Hardware acceleration for an accurate stereo vision system using Mini-Census adaptive support region

Shan, Yi,Hao, Yuchen,Wang, Wenqiang,Wang, Yu,Chen, Xu,Yang, Huazhong,Luk, Wayne

ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS[1539-9087], Published 2014, Volume 13, Issue 4 SPEC. ISSUE, Pages -

收录情况: WOS

WOS核心合集引用:6 影响因子: 0.714 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

46.HS3-DPG: Hierarchical Simulation for 3-D P/G Network

Wang, Yu,Yao, Song,Tao, Shuai,Chen, Xiaoming,Ma, Yuchun,Shi, Yiyu,Yang, Huazhong

IEEE Transactions on Very Large Scale Integration (VLSI) Systems[1063-8210], Published 2014,

影响因子: 1.245 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

47.ICE: Inline calibration for memristor crossbar-based computing engine

Li, Boxun,Wang, Yu,Chen, Yiran,Li, Hai Helen,Yang, Huazhong

Proceedings -Design, Automation and Test in Europe, DATE[1530-1591], Published 2014,

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

48.Integrated photonic reservoir computing based on hierarchical time-multiplexing structure

Zhang, Hong,Feng, Xue,Li, Boxun,Wang, Yu,Cui, Kaiyu,Liu, Fang,Dou, Weibei,Huang, Yidong

OPTICS EXPRESS[1094-4087], Published 2014, Volume 22, Issue 25, Pages 31356-31370

收录情况: WOS

WOS核心合集引用:9 影响因子: 3.148 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

49.On-Chip hybrid power supply system for wireless sensor nodes

Liu, Wulong,Wang, Yu,Ma, Yuchun,Xie, Yuan,Yang, Huazhong

ACM JOURNAL ON EMERGING TECHNOLOGIES IN COMPUTING SYSTEMS[1550-4832], Published 2014, Volume 10, Issue 3, Pages -

收录情况: WOS

影响因子: 0.705 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

50.PS3-RAM: a fast portable and scalable statistical STT-RAM Reliability/Energy analysis method

Wen, Wujie,Zhang, Yaojun,Chen, Yiran,Wang, Yu,Xie, Yuan

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[0278-0070], Published 2014, Volume 33, Issue 11, Pages 1644-1656

收录情况: WOS

WOS核心合集引用:7 影响因子: 1.181 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

51.Run-Time technique for simultaneous aging and power optimization in gpgpus

Chen, Xiaoming,Wang, Yu,Liang, Yun,Xie, Yuan,Yang, Huazhong

2014 51ST ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC)[0738-100X], Published 2014, Pages -

收录情况: WOS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

52.Statistical analysis of random telegraph noise in digital circuits

Chen, Xiaoming,Wang, Yu,Cao, Yu,Yang, Huazhong

2014 19TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC)[2153-6961], Published 2014, Pages 161-166

收录情况: WOS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

53.The stochastic modeling of tio2 memristor and its usage in neuromorphic system design

Hu, Miao,Wang, Yu,Qiu, Qinru,Chen, Yiran,Li, Hai

2014 19TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC)[2153-6961], Published 2014, Pages 831-836

收录情况: WOS

WOS核心合集引用:4 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

54.Training itself: Mixed-signal training acceleration for Memristor-based neural network

Li, Boxun,Wang, Yuzhi,Wang, Yu,Chen, Yiran,Yang, Huazhong

2014 19TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC)[2153-6961], Published 2014, Pages 361-366

收录情况: WOS

WOS核心合集引用:11 找找相关文章


-----------------------------------------------------------------

55.Whitespace-Aware TSV Arrangement in 3-D Clock Tree Synthesis

Liu, Wulong,Wang, Yu,Chen, Guoqing,Ma, Yuchun,Xie, Yuan,Yang, Huazhong

IEEE Transactions on Very Large Scale Integration (VLSI) Systems[1063-8210], Published 2014,

影响因子: 1.245 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

56.长期演进(LTE)中基于ASIC速率匹配算法的并行设计

刘涛,汪玉

科学技术与工程[1671-1815], Published 2014, Issue 22, Pages 62-67

收录情况: CNKI

找找相关文章


-----------------------------------------------------------------

57.A 1.9 ghz adpll with 130 reference cycles settling time in 0.18 mu m cmos technology

Zhao, Bo,Yu, Guangming,Lian, Yong,Wang, Yu,Yang, Huazhong

ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING[0925-1030], Published 2013, Volume 76, Issue 1, Pages 81-89

收录情况: WOS

WOS核心合集引用:2 影响因子: 0.417 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

58.A Hybrid CPU-GPU Accelerated Framework for Fast Mapping of High-Resolution Human Brain Connectome

Wang, Yu,Du, Haixiao,Xia, Mingrui,Ren, Ling,Xu, Mo,Xie, Teng,Gong, Gaolang,Xu, Ningyi,Yang, Huazhong,He, Yong

PLOS ONE[1932-6203], Published 2013, Volume 8, Issue 5, Pages -

收录情况: WOS

WOS核心合集引用:6 影响因子: 3.057 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

59.Accelerating subsequence similarity search based on dynamic time warping distance with FPGA

Wang, Zilong,Huang, Sitao,Wang, Lanjun,Li, Hao,Wang, Yu,Yang, Huazhong

ACM/SIGDA International Symposium on Field Programmable Gate Arrays - FPGA, Published 2013, Pages 53-62

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

60.Adams: asymmetric differential STT-RAM cell structure for reliable and High-performance applications

Zhang, Yaojun,Bayram, Ismail,Wang, Yu,Li, Hai,Chen, Yiran

2013 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD)[1933-7760], Published 2013, Pages 9-16

收录情况: WOS

WOS核心合集引用:10 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

61.Assessment of Circuit Optimization Techniques Under NBTI

Chen, Xiaoming,Wang, Yu,Yang, Huazhong,Cao, Yu,Xie, Yuan

IEEE DESIGN & TEST[2168-2356], Published 2013, Volume 30, Issue 6, Pages 40-49

收录情况: WOS

WOS核心合集引用:2 影响因子: 0.681 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

62.Ber guaranteed optimization and implementation of parallel turbo decoding on gpu

Chen, Xiang,Zhu, Ji,Wen, Ziyu,Wang, Yu,Yang, Huazhong

2013 8TH INTERNATIONAL ICST CONFERENCE ON COMMUNICATIONS AND NETWORKING IN CHINA (CHINACOM), Published 2013, Pages 183-188

收录情况: WOS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

63.Data Dependency Aware Prefetch Scheduling for Dynamic Partial Reconfigurable Designs

Zhang, Jixin,Xu, Ning,Ma, Yuchun,Wang, Yu,Bian, Jinian

2013 IEEE 10TH INTERNATIONAL CONFERENCE ON ASIC (ASICON)[2162-7541], Published 2013, Pages -

收录情况: WOS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

64.Dynamic stencil: effective exploitation of Run-time resources in reconfigurable clusters

Niu, Xinyu,Coutinho, Jose G. F.,Wang, Yu,Luk, Wayne

PROCEEDINGS OF THE 2013 INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY (FPT), Published 2013, Pages 214-221

收录情况: WOS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

65.Evaluation and mitigation of performance degradation under random telegraph noise for digital circuits

Chen, Xiaoming,Luo, Hong,Wang, Yu,Cao, Yu,Xie, Yuan,Ma, Yuchun,Yang, Huazhong

IET CIRCUITS DEVICES & SYSTEMS[1751-858X], Published 2013, Volume 7, Issue 5, Pages 273-282

收录情况: WOS

影响因子: 0.59 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

66.HS3DPG: Hierarchical simulation for 3D P/G network

Tao, Shuai,Chen, Xiaoming,Wang, Yu,Ma, Yuchun,Shi, Yiyu,Wang, Hui,Yang, Huazhong

Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, Published 2013, Pages 509-514

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

67.Memristor-based approximated computation

Li, Boxun,Shan, Yi,Hu, Miao,Wang, Yu,Chen, Yiran,Yang, Huazhong

2013 IEEE INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN (ISLPED)[1533-4678], Published 2013, Pages 242-247

收录情况: WOS

WOS核心合集引用:15 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

68.Nicslu: an adaptive sparse matrix solver for parallel circuit simulation

Chen, Xiaoming,Wang, Yu,Yang, Huazhong

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[0278-0070], Published 2013, Volume 32, Issue 2, Pages 261-274

收录情况: WOS

WOS核心合集引用:12 影响因子: 1.181 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

69.Nonzero pattern analysis and memory access optimization in GPU-based sparse LU factorization for circuit simulation

Chen, Xiaoming,Su, Du,Wang, Yu,Yang, Huazhong

Proc. of IA3 2013 - 3rd Workshop on Irregular Appl.: Architectures and Algorithms, Held in Conjunction with SC 2013: The Int. Conf. for High Performance Computing, Networking, Storage and Analysis, Published 2013,

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

70.On-Chip sensor network for efficient management of power Gating-Induced Power/Ground noise in multiprocessor system on chip

Liu, Weichen,Wang, Yu,Wang, Xuan,Xu, Jiang,Yang, Huazhong

IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS[1045-9219], Published 2013, Volume 24, Issue 4, Pages 767-777

收录情况: WOS

WOS核心合集引用:2 影响因子: 2.661 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

71.RALP: Reconvergence-Aware Layer Partitioning For 3D FPGAs

Liu, Qingyu,Ma, Yuchun,Wang, Yu,Luk, Wayne,Bian, Jinian

2013 INTERNATIONAL CONFERENCE ON RECONFIGURABLE COMPUTING AND FPGAS (RECONFIG)[2325-6532], Published 2013, Pages -

收录情况: WOS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

72.Real-time High-quality stereo vision system in fpga

Wang, Wenqiang,Yan, Jing,Xu, Ningyi,Wang, Yu,Hsu, Feng-Hsiung

PROCEEDINGS OF THE 2013 INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY (FPT), Published 2013, Pages 358-361

收录情况: WOS

WOS核心合集引用:5 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

73.TSV-aware topology generation for 3d clock tree synthesis

Liu, Wulong,Du, Haixiao,Wang, Yu,Ma, Yuchun,Xie, Yuan,Quan, Jinguo,Yang, Huazhong

PROCEEDINGS OF THE FOURTEENTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN (ISQED 2013)[1948-3295], Published 2013, Pages 300-307

收录情况: WOS

WOS核心合集引用:3 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

74.Unification of pr region floorplanning and FINE-GRAINED placement for dynamic partially reconfigurable fpgas

He, Ruining,Liang, Guoqiang,Ma, Yuchun,Wang, Yu,Bian, Jinian

JOURNAL OF CIRCUITS SYSTEMS AND COMPUTERS[0218-1266], Published 2013, Volume 22, Issue 4, Pages -

收录情况: WOS

影响因子: 0.308 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

75.Whitespace-aware TSV arrangement in 3D clock tree synthesis

Li, Xin,Liu, Wulong,Du, Haixiao,Wang, Yu,Ma, Yuchun,Yang, Huazhong

Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI[2159-3469], Published 2013, Pages 115-120

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

76.A reconfigurable computing approach for efficient and scalable parallel graph exploration

Betkaoui, Brahim,Wang, Yu,Thomas, David B.,Luk, Wayne

2012 IEEE 23RD INTERNATIONAL CONFERENCE ON APPLICATION-SPECIFIC SYSTEMS, ARCHITECTURES AND PROCESSORS (ASAP)[1063-6862], Published 2012, Pages 8-15

收录情况: WOS

WOS核心合集引用:13 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

77.An adaptive lu factorization algorithm for parallel circuit simulation

Chen, Xiaoming,Wang, Yu,Yang, Huazhong

2012 17TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC)[2153-6961], Published 2012, Pages 359-364

收录情况: WOS

WOS核心合集引用:6 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

78.FPGA based Memory Efficient High Resolution Stereo Vision System for Video Tolling

Shan, Yi,Wang, Zilong,Wang, Wenqiang,Hao, Yuchen,Wang, Yu,Tsoi, Kuenhung,Luk, Wayne,Yang, Huazhong

2012 INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY (FPT'12), Published 2012, Pages 29-32

收录情况: WOS

WOS核心合集引用:5 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

79.Improving energy efficiency of write-asymmetric memories by log style write

Sun, Guangyu,Zhang, Yaojun,Wang, Yu,Chen, Yiran

Proceedings of the International Symposium on Low Power Electronics and Design[1533-4678], Published 2012, Pages 173-178

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

80.Parallel circuit simulation on Multi/Many-core systems

Chen, Xiaoming,Wang, Yu,Yang, Huazhong

2012 IEEE 26TH INTERNATIONAL PARALLEL AND DISTRIBUTED PROCESSING SYMPOSIUM WORKSHOPS & PHD FORUM (IPDPSW)[2164-7062], Published 2012, Pages 2530-2533

收录情况: WOS

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

81.Parallel FPGA-based all pairs shortest paths for sparse networks: a human brain connectome case study

Betkaoui, Brahim,Wang, Yu,Thomas, David B.,Luk, Wayne

Proceedings - 22nd International Conference on Field Programmable Logic and Applications, FPL 2012, Published 2012, Pages 99-104

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

82.Parametric yield-driven resource binding in high-level synthesis with multi-Vth/Vdd library and device sizing

Chen, Yibo,Wang, Yu,Xie, Yuan,Takach, Andres

Journal of Electrical and Computer Engineering[2090-0147], Published 2012,

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

83.Pdpr: Fine-grained placement for dynamic partially reconfigurable fpgas

He, Ruining,Liang, Guoqiang,Ma, Yuchun,Wang, Yu,Bian, Jinian

Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)[0302-9743], Published 2012, Volume 7199 LNCS, Pages 350-356

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

84.Probabilistic brain fiber tractography on gpus

Xu, Mo,Zhang, Xiaorui,Wang, Yu,Ren, Ling,Wen, Ziyu,Xu, Yi,Gong, Gaolang,Xu, Ningyi,Yang, Huazhong

2012 IEEE 26TH INTERNATIONAL PARALLEL AND DISTRIBUTED PROCESSING SYMPOSIUM WORKSHOPS & PHD FORUM (IPDPSW)[2164-7062], Published 2012, Pages 742-751

收录情况: WOS

WOS核心合集引用:2 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

85.PS3-RAM: a fast portable and scalable statistical STT-RAM reliability analysis method

Wen, Wujie,Zhang, Yaojun,Chen, Yiran,Wang, Yu,Xie, Yuan

2012 49TH ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC)[0738-100X], Published 2012, Pages 1187-1192

收录情况: WOS

WOS核心合集引用:10 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

86.Pub/Sub on stream: A multi-core based message broker with QoS support

Wang, Zhaoran,Zhang, Yu,Chang, Xiaotao,Mi, Xiang,Wang, Yu,Wang, Kun,Yang, Huazhong

Proceedings of the 6th ACM International Conference on Distributed Event-Based Systems, DEBS'12, Published 2012, Pages 127-138

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

87.Sparse lu factorization for parallel circuit simulation on gpu

Ren, Ling,Chen, Xiaoming,Wang, Yu,Zhang, Chenxi,Yang, Huazhong

2012 49TH ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC)[0738-100X], Published 2012, Pages 1125-1130

收录情况: WOS

WOS核心合集引用:9 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

88.Temporal performance degradation under rtn: evaluation and mitigation for nanoscale circuits

Luo, Hong,Wang, Yu,Cao, Yu,Xie, Yuan,Ma, Yuchun,Yang, Huazhong

2012 IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI (ISVLSI), Published 2012, Pages 183-188

收录情况: WOS

WOS核心合集引用:4 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

89.Variation-Aware supply voltage assignment for simultaneous power and aging optimization

Chen, Xiaoming,Wang, Yu,Cao, Yu,Ma, Yuchun,Yang, Huazhong

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS[1063-8210], Published 2012, Volume 20, Issue 11, Pages 2143-2147

收录情况: WOS

WOS核心合集引用:11 影响因子: 1.245 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

90.Yield-Aware Time-Efficient Testing and Self-fixing Design For TSV-Based 3D ICs

Xie, Jing,Wang, Yu,Xie, Yuan

2012 17TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC)[2153-6961], Published 2012, Pages 738-743

收录情况: WOS

WOS核心合集引用:3 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

91.A hardware-software collaborated method for soft-error tolerant MPSoC

Liu, Weichen,Xu, Jiang,Wang, Xuan,Wang, Yu,Zhang, Wei,Ye, Yaoyao,Wu, Xiaowen,Nikdast, Mahdi,Wang, Zhehui

Proceedings - 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, Published 2011, Pages 260-265

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

92.A heterogeneous accelerator platform for Multi-Subject Voxel-based brain network analysis

Wang, Yu,Xu, Mo,Ren, Ling,Zhang, Xiaorui,Wu, Di,He, Yong,Xu, Ningyi,Yang, Huazhong

2011 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD)[1092-3152], Published 2011, Pages 339-344

收录情况: WOS

WOS核心合集引用:3 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

93.An EScheduler-Based data dependence analysis and task scheduling for parallel circuit simulation

Chen, Xiaoming,Wu, Wei,Wang, Yu,Yu, Hao,Yang, Huazhong

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS[1549-7747], Published 2011, Volume 58, Issue 10, Pages 702-706

收录情况: WOS

WOS核心合集引用:20 影响因子: 1.136 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

94.An FPGA-Based Accelerator for LambdaRank in Web Search Engines

Yan, Jing,Xu, Ning-Yi,Cai, Xiong-Fei,Gao, Rui,Wang, Yu,Luo, Rong,Hsu, Feng-Hsiung

ACM TRANSACTIONS ON RECONFIGURABLE TECHNOLOGY AND SYSTEMS[1936-7406], Published 2011, Volume 4, Issue 3, Pages -

收录情况: WOS

影响因子: 0.5 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

95.An ILP algorithm for voltage-island generation considering temperature in 3D-Ics

Tao, Shouchun,Tao, Shouchun,Liu, Jia,Liu, Jia,Ma, Yuchun,Ma, Yuchun,He, Zhigang,He, Zhigang,Xu, Ning,Wang, Yu,Wang, Yu,Hong, Xianlong

2011 International Conference on Electric Information and Control Engineering, ICEICE 2011 - Proceedings, Published 2011, Pages 3950-3953

找找相关文章 PlumX Metrics


-----------------------------------------------------------------

96.Circuit-level delay modeling considering both TDDB and NBTI

Luo, Hong,Chen, Xiaoming,Velamala, Jyothi,Wang, Yu,Cao, Yu,Chandra, Vikas,Ma, Yuchun,Yang, Huazhong

2011 12TH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN (ISQED)[1948-3295], Published 2011, Pages 14-21

收录情况: WOS

WOS核心合集引用:2 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

97.Fpga accelerated parallel sparse matrix factorization for circuit simulations

Wu, Wei,Shan, Yi,Chen, Xiaoming,Wang, Yu,Yang, Huazhong

RECONFIGURABLE COMPUTING: ARCHITECTURES, TOOLS AND APPLICATIONS[0302-9743], Published 2011, Volume 6578, Pages 302-315

收录情况: WOS

WOS核心合集引用:10 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

98.Gemma in April: A matrix-like parallel programming architecture on OpenCL

Wu, Tianji,Wu, Tianji,Wu, Di,Wang, Yu,Zhang, Xiaorui,Luo, Hong,Xu, Ningyi,Yang, Huazhong

Proceedings -Design, Automation and Test in Europe, DATE[1530-1591], Published 2011, Pages 703-708

找找相关文章


-----------------------------------------------------------------

99.Hardware-Software Collaborated Method for Soft-Error Tolerant MPSoC

Liu, Weichen,Xu, Jiang,Wang, Xuan,Wang, Yu,Zhang, Wei,Ye, Yaoyao,Wu, Xiaowen,Nikdast, Mahdi,Wang, Zhehui

2011 IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI (ISVLSI)[2159-3477], Published 2011, Pages 260-265

收录情况: WOS

WOS核心合集引用:2 找找相关文章 PlumX Metrics


-----------------------------------------------------------------

100.Incremental layout optimization for NoC designs based on MILP formulation

Liu, Jia,Liu, Jia,Ma, Yuchun,Xu, Ning,Wang, Yu

Proceedings of International Conference on ASIC[2162-7541], Published 2011, Pages 357-360

找找相关文章 PlumX Metrics

相关话题/清华大学 学者 列表